diseño y simulacion de tecnicas de modulacion pwm natural para el

Anuncio
UNIVERSIDAD DE PAMPLONA
FACULTAD DE INGENIERÍAS Y ARQUITECTURA
PROGRAMA DE INGENIERÍA ELECTRÓNICA
TRABAJO PRESENTADO PARA OPTAR POR EL TITULO DE INGENIERO
ELECTRÓNICO
TITULO: DISEÑO Y SIMULACION DE TECNICAS DE MODULACION PWM
NATURAL PARA EL CONTROL DE MOTORES TRIFASICOS
AUTOR: CESAR AUGUSTO ROJAS VARGAS
DIRECTOR: Msc. JORGE LUIS DIAZ
PAMPLONA COLOMBIA
SEPTIEMBRE DEL 2006
0
UNIVERSIDAD DE PAMPLONA
FACULTAD DE INGENIERÍAS Y ARQUITECTURA
PROGRAMA DE INGENIERÍA ELECTRÓNICA
TRABAJO PRESENTADO PARA OPTAR POR EL TITULO DE INGENIERO
ELECTRÓNICO
TITULO: DISEÑO Y SIMULACION DE TECNICAS DE MODULACION PWM
NATURAL PARA EL CONTROL DE MOTORES TRIFASICOS
AUTOR: CESAR AUGUSTO ROJAS VARGAS
DIRECTOR: Msc. JORGE LUIS DIAZ
DIRECTOR DE PROGRAMA: ING. CESAR AUGUSTO RANGEL
PAMPLONA COLOMBIA
SEPTIEMBRE DEL 2006
1
ABSTRACT
This work consists on the design and simulation of technical of modulation Natural
PWM for the control of motors trifásicos, to regulate the speed of asynchronous
motors, by means of investor of voltage source. The control is of type to Climb.
Before beginning the simulation, he/she is carried out a detailed summary of the
technological evolution of the regulators of speed of induction motors and later on
the characteristics and limits are presented that should be had in bill for the design
of systems of electric workings.
The simulation Natural PWM was carried out thanks to the version demo PSIM 6.1
of gratuitous distribution, and it was analyzed the signs of the wave moduladora,
that of the portadora and the resulting wave or modulated wave that it is that of
more importance in our case.
2
RESUMEN
Este trabajo consiste en el diseño y simulación de técnicas de modulación PWM
Natural para el control de motores trifásicos, para regular la velocidad de motores
asincrónicos, mediante inversora de fuente de voltaje. El control es de tipo
Escalar.
Antes de iniciar la simulación, se realiza un resumen detallado de la evolución
tecnológica de los reguladores de velocidad de motores de inducción y más
adelante se presentan las características y lineamientos que se deben tener en
cuenta para el diseño de sistemas de accionamientos eléctricos.
La simulación PWM Natural se realizara gracias a la versión demo PSIM 6.1 de
distribución gratuita, y se analizara las señales de la onda moduladora, el de la
portadora y la onda resultante o onda modulada, que en nuestro caso es la de
mayor importancia.
3
TABLA DE CONTENIDO
INTRODUCCION
8
PROBLEMA
10
JUSTIFICACION
11
OBJETIVOS
12
1. MARCO TEORICO
13
1.1 FUNDAMENTOS DE LA MODULACION POR ANCHO DE PULSO
13
1.2 MÉTODOS DE MODULACIÓN POR ANCHO DE PULSO
17
1.2.1 Modulación de pulso simple
18
1.2.2 Modulación de pulsos múltiples
18
1.2.3 Modulación sinusoidal
19
1.3 RAZÓN DE MODULACIÓN (Carrier Ratio)
21
1.4 MODULACIÓN ASINCRÓNICA
24
1.5 MODULACION SINCRONICA
26
1.6 INDICE DE MODULACION
26
1.7 MODULACIÓN POR ANCHO DE PULSO NATURAL
27
1.8 MODULACIÓN SENOIDAL CON MUESTREO NATURAL
31
1.9 SOBREMODULACION EN ESQUEMAS DE PWM SENOIDAL
34
1.10 MODULACIÓN SENOIDAL CON MUESTREO REGULAR
37
1.11 CONTROL ESCALAR
41
4
1.11.1 Control Voltaje – Frecuencia (V/f)
41
1.11.2 Control del Deslizamiento
43
1.12 MOSFET
44
1.12.1 Funcionamiento en la región de corte
46
1.12.2 Funcionamiento en la región óhmica
47
1.12.3 Funcionamiento en la región de saturación
50
1.13 IGBT (Insulated Gate Bipolar Transistor)
51
1.14 INVERSOR DE ONDA CUADRADA
54
1.15. METODOLOGIA
59
1.16 CRITERIOS GENERALES DE DISEÑO
60
1.17 SIMULADORES
61
1.18 DETERMINACION DE TABLAS PARA VALORES ON/OFF DEL PWM.
63
1.19 PASOS PARA EL DISEÑO DEL MODULADOR PWM NATURAL.
63
1.20 simulación del variador de frecuencias con PWM natural.
71
1.21 Pasos para la simulación del convertidor con PWM Natural.
71
1.22 DESARROLLO DE LAS TABLAS
77
1.23 ADQUISICION DE VALORES PARA LAS FASES EN -120º Y -240º
83
1.24 PROCESADOR DIGITAL DE SEÑALES DSP
93
1.25 PARÁMETROS GENERALES DE DISEÑO
94
2. ANALISIS ECONOMICO Y ADMINISTRATIVO
95
3. ANÁLISIS DE LEGALIDAD
96
4. PROTECCIÓN E HIGIENE DEL TRABAJO
5
97
5.
INFLUENCIA AMBIENTAL DEL TRABAJO
CONCLUSIONES
98
99
RECOMENDACIONES
100
ANÁLISIS BIBLIOGRÁFICO
101
BIBLIOGRAFÍA
102
ANEXOS
103
6
INTRODUCCION
A las puertas de un nuevo milenio, las comunidades científica e industrial se
enfrentan a la difícil tarea de armonizar el desarrollo económico y la protección del
medio ambiente, al objeto de asegurar el bienestar y la mejora de la calidad de
vida de las generaciones futuras. El mercado de motores eléctricos de mayor
eficiencia, construidos con nuevos materiales, y el de los reguladores de velocidad
(drives) no es ajeno a estos cambios, y por ello responde, ahora más que nunca,
no sólo a la necesidad de resolver aspectos primordiales de automatización sino
que, apoyándose en nuevas tecnologías, contempla además la calidad de onda y
el ahorro energético como respuesta a la utilización más racional y eficientes de
los recursos naturales.
La modulación por ancho de pulso PWM Natural es de gran importancia en los
inversores para graduar la velocidad de corriente alterna como fuentes
interrumpibles. Debido a esta gran importancia de las técnicas de modulación
especialmente la modulación PWM Natural es mi humilde aporte con la simulación
de esta técnica.
En las últimas décadas han sido propuestas varias estrategias de conmutación
PWM. Primeramente, por supuesto, todas las estrategias de conmutación
7
estuvieron basadas en técnicas de implementación analógicas. Estas técnicas,
gradualmente, han sido sustituidas por técnicas digitales. Actualmente la mayoría
de las técnicas de conmutación PWM están basadas en esquemas de control
implementados con microprocesadores. En estas últimas es muy importante
desarrollar una estrategia simple y eficiente para lograr los indicadores deseados.
Es igualmente importante tener en cuenta los requerimientos de la implementación
del hardware para la estrategia dada y también, como último criterio, el costo del
hardware y el software de desarrollo.
Este proyecto aporta conocimientos a los estudiantes de la universidad para seguir
investigando sobre esta modulación por medio del DSP 56F801.
8
PROBLEMA
Debido a una necesidad industrial de sustituir los motores de corriente
directa por los motores de corriente alterna, ya que estos ofrecen mejores
características sobre los motores de corriente directa surgieron los
convertidores de frecuencia, que son empleados para el accionamiento de
los motores de corriente alterna. Entre las características que el motor de
inducción supera al de directa encontramos: robustez, relación peso
potencia, mayor velocidad máxima, no necesita mantenimiento continuo, etc.
En base a esta necesidad del ahorro de energía a nivel nacional en nuestro
país es que baso mi investigación para el control de motores trifásicos por
medio de la modulación PWM natural.
9
JUSTIFICACION
El motor en si, es una maquina electromecánicos que convierte energía
eléctrica en energía mecánica, pero esto no asegura que esta conversión de
energía sea eficiente para así aprovechar las caracterizas del motor. Desde
este punto de vista se hace necesaria una estrategia que permita controlar el
motor de acuerdo a las necesidades, y para este proyecto la estrategia a
desarrollar es la modulación PWM natural la cual no solo hace funcionar el
motor, pues también permite variar la frecuencia y velocidad de
funcionamiento, o ajustar el par del motor de acuerdo a la carga requerida,
entre otras de sus funcionalidades.
Estas técnicas de modulación PWM que generan señales de disparo pueden
ser útiles no solo para el control de motores, sino para dar un adecuamiento
necesario a la energía eléctrica almacenada en forma de CD mediante el uso
de sistemas fotoeléctricos, eolicos etc., pues esta CD almacenada podría
usarse para suministrar energía a sistemas o cargas que requieren de una
alimentación eléctrica alterna monofásica, trifásica, etc.
Otro parámetro a tener en cuenta es que a la hora de comprar los inversores
de frecuencia es poca la información que el nos puede ofrecer acerca de su
funcionamiento, por este motivo desarrollaremos un inversor de frecuencia
que se adapte a nuestras necesidades.
10
Con esta implementación por medio del DSP 56F801 pretendo disminuir el
gasto de energía en el campo industrial a nivel nacional o regional que es
donde mayor aplicación tiene este sistema de modulación.
11
OBJETIVOS
OBJETIVO GENERAL
Diseñar y simular técnicas de modulación PWM natural para el control de
motores trifásicos.
OBJETIVOS ESPECIFICOS
•
Documentación.
•
Simulación del sistema de modulación PWM natural.
•
Desarrollar el algoritmo optimizado para el DSP 56F801 que genera la señal
PWM natural basado en datos de simulación.
•
Elaborar el documento final del PTG.
•
Analizar mediante el osciloscopio la señal PWM natural obtenida del DSP.
12
1. MARCO TEORICO
1.1. Fundamentos de la Modulación por ancho de pulso
Una vez elegido los dispositivos de potencia, y con ellos la frecuencia máxima de
conmutación, la cuestión fundamental y que fija de forma determinante los
indicadores de la etapa de potencia, va a ser la estrategia de control utilizada para
el encendido y apagado de estos dispositivos. Esta, en general, va a depender
además del tipo de dispositivo y la frecuencia de conmutación, de cuestiones
como el criterio de optimización (minimización de armónicos) y buena repuesta
dinámica. El modo de control más habitual de los dispositivos de potencia en el
inversor va a ser la modulación por ancho de pulso o simplemente PWM.
En la modulación PWM la frecuencia se controla con pulsos positivos durante
medio período y pulsos negativos durante el siguiente medio período. El circuito
de control establece los tiempos de activación y desactivación de los dispositivos
de potencia, que de acuerdo a la estrategia utilizada, puede ser en los puntos de
intersección entre una tensión sinusoidal denominada onda moduladora o de
referencia y una tensión triangular llamada onda portadora. La frecuencia de la
tensión sinusoidal debe ser igual a la frecuencia que se desea obtener del
convertidor de frecuencia y por tanto, se controla por medio de la señal
de
entrada del circuito de control. La relación entre la amplitud de la tensión
13
sinusoidal y la amplitud de la tensión triangular determina la anchura de los pulsos
de tensión que se aplican al motor y por ende el valor de voltaje.
Fig. 1.1. Modulación por ancho de pulso con portadora triangular
En la figura 1.1. Tenemos un esquema típico de modulación PWM basado en una
portadora triangular. Los puntos de corte de la portadora con la señal de referencia
se determinarán los instantes de conmutación de los transistores que configurarán
cada rama.
En la figura 1.2 podemos ver la simulación de un esquema de este tipo para un
inversor trifásico. En la parte superior se muestra la portadora y los voltajes de
referencia, en este caso sinusoidal.
14
Fig. 1.2. Modulación por ancho de pulso. Voltaje de referencia y señal
modulada (a);
voltaje de fase (b); voltaje fase - neutro(c) voltaje del neutro (d)
Posteriormente, los voltajes de fase - neutro se determinan a partir de los puntos
de corte entre las referencias y la portadora. Si el neutro de la carga está aislado,
que es el caso más habitual, el voltaje del neutro, como se planteo anteriormente,
será:
v n0 =
1
(v a 0 + vb0 + vc0 )
3
15
Teniendo en cuenta que los valores en los voltajes de fase son v dc 2 y - v dc 2 ,
esto nos da unos valores posibles en el voltaje de neutro que serían
v n0 =
v dc v dc v dc v dc
,
,−
,−
, que por lo tanto, el valor instantáneo no va a valer
2
6
2
6
nunca cero. A partir de estos valores y de los voltajes de fase mostrada en la
figura 1.2 se deducen los voltajes fase - neutro.
Las formas de ondas no sinusoidales, obtenidas a la salida del inversor, se
analizan aplicando el desarrollo en series de Fourier. Cuando la onda es periódica,
se puede descomponer en la suma de una componente continua, una componente
fundamental sinusoidal de la misma frecuencia y una serie de armónicos, también
sinusoidales, cuya frecuencia es múltiplo de la frecuencia de la onda original.
Si existe simetría de semionda (semiciclos positivos y negativos iguales)
desaparece la componente continua y todos los armónicos pares. Si en las tres
salidas se generan las mismas formas de onda, desfasadas a 1200, desaparecen
todos los armónicos múltiplos de 3. Para la forma de onda de la figura el primer
armónico de importancia es el 5 (de secuencia inversa) seguido del 7, 11, etc.
Si todo esto se refiere a un motor de corriente alterna, es posible que algunos
armónicos contribuyan a que el rotor gire en el sentido correcto, otros crean un
campo en sentido contrario y la mayoría simplemente lo calientan disminuyendo la
eficiencia del sistema. También, debido a la reactancia del motor, los armónicos
de frecuencia muy elevada tienen poca influencia en la intensidad y basta eliminar
las frecuencias más bajas. A pesar del número reducido de frecuencias armónicas
16
bajas, se producen pérdidas suplementarias en el motor y esto se ilustra más
claramente por la recomendación de los fabricantes de los convertidores PWM de
procurar que los motores que están funcionando de manera continua no estén
sometidos a una carga superior al 85-95% del momento nominal.
Por ello surge la necesidad de reducir los armónicos en la de salida de inversor,
para mejorar sobre todo la eficiencia del sistema convertidor - motor, esto puede
lograrse aplicando modulación por ancho de pulso sinusoidal, donde se actúa
sobre los tiempos de conducción siguiendo una ley sinusoidal.
1.2. Métodos de Modulación por ancho de pulso
Desde las primeras técnicas de Modulación PWM registradas hasta nuestros días,
la literatura recoge varios métodos propuestos, los cuales serán mencionados a
continuación:
1.2.1. Modulación de pulso simple
Este método es la técnica de modulación básica: requiere solamente de dos
conmutaciones por ciclo, sin embargo, sólo se usa en aplicaciones limitadas, por
ejemplo, en alimentación de motores de inducción de media y baja potencia,
debido al gran contenido de armónicos que presenta la onda cuasicuadrada, lo
cual provoca que la operación del motor de inducción sea ineficiente y tenga un
17
desempeño insatisfactorio a bajas velocidades. A pesar de esta limitación, esta
técnica ofrece menos pérdidas por conmutación que el resto de las técnicas.
1.2.2. Modulación de pulsos múltiples
Esta se caracteriza por el empleo de un tren de pulsos por semiperíodo. Todos los
pulsos tienen el mismo ancho. En este tipo de modulación, al aumentar el número
de pulsos o el ancho de los mismos, disminuye el contenido de armónicos relativo
de la onda a partir de un número de pulsos igual a 10, la reducción en el contenido
de armónicos relativo no es apreciable y la frecuencia en el inversor es muy alta.
Fig.1.3. Fundamentos de la Modulación por Ancho del Pulsos
18
1.2.3. Modulación sinusoidal
El contenido de armónicos en el voltaje de salida del convertidor puede ser
reducido considerablemente usando un conjunto de pulsos en cada semiperíodo.
Si esa modulación se realiza con una función sinusoidal, se obtiene una marcada
reducción en el contenido de armónicos de la salida. Esta modulación fue
propuesta originalmente por Schoung y Stemmler. La técnica de modulación por
ancho de pulso sinusoidal (SPWM), es la más comúnmente utilizada y existen
algunas variaciones de la misma, las cuales optimizan algún criterio en particular.
En este método, una señal portadora triangular, se compara con una onda
moduladora sinusoidal para determinar los instantes de conmutación. Esta técnica
de modulación puede ser implementada fácilmente utilizando circuitos analógicos,
sin embargo, su implementación en sistemas digitales o a microprocesadores no
resulta fácil, pues el ancho de los pulsos no se puede obtener a través de
funciones analíticas. Para la implementación en este tipo de sistemas, sin
embargo, varias técnicas como la de muestreo natural, regular y optimizado, así
como otros métodos, son usados para calcular el ancho de los pulsos. Además los
métodos de eliminación de armónicos han sido propuestos, específicamente para
sistemas digitales.
El método PWM senoidal, llamado también SPWM es muy popular en aplicaciones
19
Industriales y se menciona extensivamente en la literatura. En este tipo de
modulación, en lugar de mantener constante el ancho de todos los pulsos, como
sucede en otros esquemas PWM, el ancho de cada pulso varía en proporción con
la amplitud de una onda senoidal modulante que se compara con una onda
portadora triangular como se muestra en la fig. 1.4, los puntos de intersección
naturales entre ambas ondas determinan la señal modulada de salida
Fig. 1.4. Principio de la modulación senoidal con onda portadora triangular.
20
1.3. Razón de modulación (Carrier Ratio)
A la relación entre la frecuencia de la onda portadora y la frecuencia fundamental
se le denomina razón de la portadora o de modulación.
n=
f portadora
f referencia
Esta razón tiene gran importancia ya que, para disminuir el contenido de
armónicas, su valor debe ser alto pues esto permite obtener gran número de
pulsos por período de la onda fundamental. Por otra parte, esto aumenta la
frecuencia de apagado y encendido del elemento semiconductor (switching
frequency). Este valor está limitado por el tipo de semiconductor utilizado. Para los
tiristores esta frecuencia es baja pero para los Transistores Bipolares de Potencia,
MOSFET de Potencia, IGBT y MCT esta frecuencia permisible es de un valor
mucho más elevado constituyendo ésta una de las ventajas fundamentales de
estos dispositivos.
Las tensiones desiguales más próximas a la tensión triangular serán las de mayor
amplitud. Si se elige una relación de n=6 entre la frecuencia triangular y la
frecuencia sinusoidal, la quinta y séptima armónica tendrán una amplitud
especialmente elevada. Si n = 15, las decimoterceras, decimoquintas, y
decimoséptimas armónicas van a ser particularmente importante.
Puesto que la reactancia de los devanados del motor aumenta cuando la
frecuencia sube, en razón de la autoinducción de los devanados, las frecuencias
armónicas elevadas son menos importantes que las armónicas bajas. Además,
puesto que todas las frecuencias armónicas que puedan ser divididas por tres
21
están equilibradas por el motor trifásico, la relación entre la frecuencia triangular y
la frecuencia sinusoidal debe ser elevada y divisible por tres.
Sin embargo, existen varios motivos para limitar la relación n:
a) Existe un límite con respecto a la velocidad a la cual los dispositivos de
potencia pueden ser activados o desactivados. Además, cada desactivación
forzada de un tiristor cuesta energía. Por lo tanto una relación elevada de n
(frecuentes desenergizaciones) corresponde a una reducción del rendimiento
del convertidor de frecuencia. Si los tiristores del inversor se sustituyen por
transistores es posible reducir las pérdidas por desenergización.
b) Una relación de n elevada corresponde a numerosos “agujeros” en la tensión,
la cual, por tanto, no puede tomar el valor máximo; sin embargo, este valor es
necesario cuando se desea obtener el par máximo a frecuencia nominal del
motor. Pero a bajas frecuencias es normal tener una relación elevada de n, y
obtener una tensión que corresponde a la frecuencia.
Todo esto explica por qué la relación de n disminuye cuando la frecuencia del
motor sube. Puesto que los cambios se producen por etapas sucesivas y pueden
ser oídos normalmente bajo la forma de “cambios de velocidad” por que la tensión
que se utiliza para aplicarla al motor produce un cierto ruido en el motor. Para
obtener la tensión máxima a frecuencias superiores a la frecuencia nominal del
motor, se utiliza una relación de n capaz de dar una tensión dotada del mismo
aspecto que se obtienen con los convertidores de frecuencia PAM.
22
La elevada relación de n a frecuencias bajas corresponde a un número de
frecuencia armónicas y, por tanto, a un funcionamiento suave del motor, incluso a
frecuencias muy bajas. Normalmente una portadora del orden KHz puede ser
suficiente. Pero hay que tener en cuenta los posibles problemas causados por las
vibraciones que puede producir el motor, las bobinas y los propios conductores.
Uno de estos problemas es el ruido, ya que el oído humano es muy sensible a
sonidos en torno a 2 ó 3 KHz. Las frecuencias de 16 KHz o superiores son
prácticamente inaudibles, pero es posible que produzcan demasiadas pérdidas de
conmutación. En algunos inversores la frecuencia de la portadora es fija, en otros
varía continuamente o se modifica en función de la modulación y en algunos el
usuario puede seleccionarla de acuerdo con sus necesidades y las posibles
resonancias mecánicas.
1.4. Modulación asincrónica
En la figura 1.2 la frecuencia de conmutación era constante mientras que la
frecuencia de la señal de referencia podía ser variable, por lo que la relación de
frecuencia entre ambas va a ser variable. Es lo que se denomina modulación
asincrónica. La secuencia de disparo de los interruptores obtenida en este caso va
a ser en general no periódica y su espectro en frecuencia va a contener armónicos
por debajo de la frecuencia de conmutación. Estos efectos van a tener mayor
23
influencia a medida que disminuye la relación entre la frecuencia de la portadora y
la referencia, lo que va ocurrir en equipos de mayor potencias, donde la frecuencia
de modulación es pequeña; a medida que aumenta la frecuencia de conmutación,
va a disminuir la importancia de este efecto.
Existen dos métodos de control de la razón de la portadora: el control asincrónico
que mantiene un valor constante de fc en todo el diapasón de regulación de
frecuencia. Esto implica que Rc aumenta continuamente según f1 se reduce.
Este método es muy fácil de realizar utilizando circuitos analógicos pero puede dar
lugar a la aparición de las denominadas subarmónicas, o sea, armónicas inferiores
a la fundamental las cuales no son atenuadas ya que el motor puede considerarse
un filtro pasa bajo.
El otro método es el control sincrónico que se basa en utilizar dos o más zonas de
trabajo en cada una de las cuales se mantiene constante Rc. Este método
requiere que Rc cambie de forma discreta en diferentes puntos del diapasón de
regulación de frecuencia. Para la más fácil realización de éste método se
recomienda que Rc sea múltiplo de 6 para inversores trifásico, o sea, de la forma:
Rc = 6n
Por ejemplo, supóngase que la frecuencia máxima de operación de un inversor es
de 6 KHz y que la frecuencia debe variarse de 100 Hz a 5 Hz. Es conveniente
entonces dividir la operación del inversor en tres zonas como se muestra en la
tabla siguiente:
24
Zonas de operación del inversor
Zona
Diapasón de Frec.
Rc
fcmax
I
100-60 Hz
60
6 kHz
II
60-30 Hz
96
5,76 kHz
III
< 30 Hz
192
5,76 kHz
Debe señalarse que, con este método de control al llevarse a cabo la transición de
una zona a la otra pueden ocurrir violentos transitorios de interrupción en la carga.
Para disminuir este fenómeno se acostumbra aplicar cierta histéresis al control, o
sea, por ejemplo, al pasar de la zona I a la zona II la transición se puede llevar a
cabo a los 62 Hz pero al regresar de la II a la I se hace a 58 Hz.
1.5. Modulación sincrónica
En el caso de bajas frecuencias en la modulación puede ser interesante
sincronizar la portadora y la referencia, lo que va a dar lugar a esquemas de
modulación a frecuencia variable, denominados como modulación sincrónica. Esta
solución puede presentar problemas durante los transitorios donde la señal de
referencia, y por tanto su frecuencia, es cambiante.
25
1.6. Índice de modulación (Modulation Depth)
Para variar el voltaje se varía la relación entre el valor máximo de la onda
moduladora y el de la portadora. Esta relación se denomina índice de modulación
o profundidad de modulación y se define como:
m=
v referencia ( max )
v portadora ( max )
1.7. Modulación por ancho de pulso natural
La mayoría de los inversores PWM analógicos implementados utilizan esquemas
de control que emplean técnicas de muestreo natural. Una realización práctica,
mostrando los rasgos generales de ese modo de muestreo, es ilustrada en la
figura 1.5. Esta técnica de modulación se denomina PWM de muestreo natural, o
sencillamente PWM natural o triangular. En la figura se puede apreciar que una
onda portadora triangular es comparada directamente con una onda sinusoidal
moduladora, para determinar el instante de conmutación, y por consiguiente, el
ancho del pulso resultante.
Es importante señalar que, debido a que los bordes de conmutación de la
modulación por ancho de pulso, son determinados por la intercepción instantánea
26
de las dos ondas, el ancho de pulso resultante es proporcional a la amplitud de la
onda moduladora en el instante en que ocurre la conmutación. Esto tiene dos
consecuencias importantes: la primera es que los centros de los pulsos de la
onda, en la modulación por ancho de pulso resultante, no están equidistantes o
uniformemente espaciados y la segunda es, que no es posible definir el ancho de
los pulsos utilizando expresiones analíticas.
De hecho, es útil mostrar que el ancho de pulso sólo puede ser definido utilizando
una ecuación trascendental de la forma:
tp =
T ⎡ Vm
(sen ω t1 + sen ω t 2 )⎤⎥
1+
⎢
2⎣
2
⎦
Donde:
tp - Ancho del pulso.
T - Período de la señal moduladora.
Vm - Amplitud de la señal moduladora.
t1 - Inicio del pulso modulado.
t2 - Fin del pulso modulado.
Debido a esta relación trascendental existente entre los tiempos de conmutación,
no es posible calcular directamente el ancho de los pulsos modulados. Por lo
tanto, el ancho de los pulsos sólo puede ser definido en términos de una serie de
funciones Bessel.
Para construir un modelo computacional de la modulación natural se requiere del
proceso ilustrado en la figura 1.5, el cual puede ser simulado directamente en la
27
computadora y el instante de conmutación de la PWM es determinado usando
técnicas numéricas, como los métodos de Gauss - Seidel o Newton - Raphson.
Por ello, dichas ecuaciones no pueden ser utilizadas directamente, excepto en
sistemas a microprocesadores con gran capacidad para el cálculo aritmético. Otra
solución es utilizar una computadora para el cálculo de los instantes de
conmutación y luego grabarlo en una memoria de sólo lectura para su posterior
uso, pero esto requiere de un gran espacio de memoria para guardar los anchos
de pulso para un amplio rango de voltaje y frecuencia de la salida.
Como se ilustra en la figura 1.5, la forma de onda del PWM cambia entre dos
niveles de voltaje (+1 y -1) y por consiguiente, es usualmente denominado PWM
de dos niveles. Esta forma de onda es típica de la línea inversora a CD enlazada
con el voltaje de la derivación o tap central, y como muestra incluye el portador de
armónicos de frecuencia.
28
Fig. 1.5. PWM natural de dos niveles
Fig. 1.6. PWM natural de tres niveles
a - Onda moduladora.
a - Onda moduladora.
b - Onda portadora.
b - Onda portadora.
c - Voltaje PWM.
c - PWM de dos niveles.
d - Armónico fundamental del voltaje PWM.
d - Polaridad del circuito de control.
e - Voltaje del PWM de tres niveles.
f - Armónico fundamental del voltaje PWM
También es posible generar un tercer nivel de la forma de onda de la modulación
por ancho de pulso por conmutaciones entre (+1 y -1) como se muestra en la
figura 1.6. Esta forma de modulación por ancho de pulso de tres niveles es típica
de la forma de onda del voltaje de línea en inversores monofásicos y trifásicos, no
29
incluye el portador de armónicos de frecuencia. La forma de onda de tres niveles
puede ser generada por la combinación de las formas de onda de PWM de dos
niveles de dos fases adecuadamente o generadas directamente como se muestra
en la figura 1.6. Como se puede apreciar en la misma, el pulso cambia de
polaridad cada medio ciclo, y por consiguiente, el ancho de pulso en este medio
ciclo requiere, para ser modulado, del semiciclo positivo de una onda moduladora.
La onda no sinusoidal en la figura 1.6 representa la función de disparos lógicos o
secuencia de disparos, la cual es necesaria aplicar correctamente para la
conmutación de los dispositivos de potencia en el circuito inversor.
Una vez obtenidos los modelos computarizados de dos y tres niveles de la PWM
natural, entonces, estos pueden ser utilizados como bloque básico para construir
una amplia variedad de sistemas inversores monofásicos y polifásicos con PWM
natural.
1.8. Modulación senoidal con muestreo natural
En la modulación senoidal con muestreo natural una señal modulante senoidal
vm (wt) = Vm sen(wt) se aplica a una señal portadora triangular vc(wt) de amplitud
máxima vc y desplazada por una componente de CD como se muestra en la Fig
1.7. Los puntos de intersección natural de vm (wt) y vc(wt) determinan el tiempo
30
de encendido y la duración de los pulsos modulados, así, el patrón de pulsos se
describe debido al muestreo natural. En la Fig. 1.7 la amplitud V de la señal de
salida PWM vo(wt) está determinada por el voltaje de CD de alimentación (no por
el patrón de conmutación) si el esquema se aplica a un inversor.
En las formas de onda PWM el patrón de pulsos depende de la relación del pico
de voltaje modulante Vm al pico de voltaje de la onda portadora Vc , ésta relación
a menudo es llamada índice de modulación o relación de modulación, M.
M = Vm / Vc
Variando el valor del voltaje pico Vm y manteniendo Vc constante se controla el
índice de modulación, y por consiguiente la señal de salida modulada. El rango
usual de M es 0 ≤ M ≤ 1 .
31
Fig. 1.7. Forma de onda PWM que se obtiene utilizando SPWM con muestreo
natural, p = 12,
M = 0.75.
Una propiedad básica de las formas de onda PWM es la relación entre las
frecuencias de la onda portadora y modulante, llamada relación de modulación de
frecuencia, p.
P = fc / fm
donde:
fc es la frecuencia de la onda portadora.
fm es la frecuencia de la onda modulante.
Si hay un número entero de ciclos de la onda portadora en cada ciclo de la onda
modulante ( p entero) la modulación es síncrona, y en este caso se dice que
ambas señales están sincronizadas, de otra manera la modulación es asíncrona, y
la señal portadora corre libre con respecto a la señal modulante. Si p es un entero
impar entonces la forma de onda modulada tiene simetría de media onda (los
medios ciclos positivos y negativos son simétricos) y no contiene armónicos de
orden par.
32
Con un valor grande de p los armónicos dominantes de la forma de onda PWM
son también grandes y están agrupados alrededor de la frecuencia portadora y
sus bandas laterales.
En operación trifásica la onda portadora triangular usualmente es simétrica, sin
componente de CD. En este esquema se tienen tres ondas senoidales
modulantes, cada una de ellas desplazada 120° y se puede utilizar una portadora
común para las tres fases. Esto se muestra en la Fig. 1.8, así como las formas de
onda que se obtienen para un inversor trifásico, en esta, la relación de frecuencia
p = 9 y la relación de modulación M es casi la unidad. Para obtener a la salida una
onda de frecuencia y voltaje variables, se pueden variar la frecuencia y la amplitud
de la onda modulante. Para una operación trifásica balanceada p debe ser un
múltiplo impar de 3. La frecuencia portadora es entonces un múltiplo de 3 de la
frecuencia modulante, así, la forma de onda modulada de salida no contiene a la
frecuencia portadora o sus armónicos.
33
Fig. 1.8. Formas de onda de voltaje para un inversor trifásico SPWM.
En general, los armónicos k de la forma de onda modulada están dados por
k = np k ± m
donde:
n es el orden armónico de la portadora.
m es la banda lateral de la portadora.
1.9. Sobremodulacion en esquemas de PWM senoidal
34
La operación cuando M se incrementa más allá de la unidad se llama
sobremodulacion, y en este caso el voltaje de salida ya no es proporcional a M
como se muestra en la Fig. 1.9. En esta condición de sobremodulación ya no se
presenta el proceso natural de muestreo. Existen intersecciones entre la onda
portadora y la onda modulante que se pierden, como se ilustra en la Fig. 1.10. El
resultado de esto es que hay pulsos que no se presentan, siendo este un
problema de saltos de voltaje tal y como lo muestra la Fig. 1.11.
Fig. 1.9. Valor RMS del voltaje fundamental de línea relativo a Vcd contra la
relación de modulación para SPWM.
35
Cuando M alcanza el valor M = 3.24, las formas de onda originales de PWM se
pierden y se entra en operación de onda cuadrada. La variación del voltaje
fundamental modulado de salida contra la relación de modulación M se muestra
en la Fig. 1.9.
Fig. 1.10. Sobremodulacion en SPWM.
La sobremodulacion conduce a una operación de onda cuadrada e incrementa el
contenido armónico en comparación con la operación en el rango lineal.
36
Otras opciones para incrementar el voltaje fundamental modulado de salida más
allá de M = 1, sin incremento de armónicos, son usar una onda de referencia
(modulante) no senoidal como una onda trapezoidal o una senoidal con
componente de tercera armónica.
Fig. 1.11. Saltos de voltaje debidos a la sobremodulacion.
1.10. Modulación senoidal con muestreo regular
Como alternativa al muestreo natural la onda de referencia senoidal puede
muestrearse a intervalos de tiempo regulares. Si el muestreo ocurre en instantes
que corresponden a los picos positivos o a los picos positivos y negativos de la
37
onda portadora triangular, como se muestra en la Fig. 1.12 y Fig. 1.13, el proceso
se conoce como muestreo regular o uniforme. Un valor de muestreo de la onda
senoidal de referencia se mantiene constante hasta el próximo instante de
muestreo cuando ocurre una transición escalón. La versión escalonada de la onda
de referencia se vuelve la onda modulante. La onda modulada de salida resultante
está definida por las intersecciones entre la onda portadora y la onda escalonada
modulante.
Cuando el muestreo ocurre a la frecuencia de la portadora y coincide con los
picos positivos de la onda portadora, como se muestra en la Fig. 1.2, las
intersecciones de los lados adyacentes de la portadora con la onda escalonada
son equidistantes con respecto a los picos no muestreados (negativos). Para
cualquier valor de M el ancho de los pulsos de la onda modulada son simétricos
con respecto a los picos inferiores (no muestreados) de la portadora, a este
proceso se le llama muestreo regular simétrico. El ancho de los pulsos es
proporcional a la altura del escalón respectivo y el centro de los pulsos ocurre a
tiempos de muestreo uniformemente espaciados.
Cuando el muestreo coincide con ambos, el pico positivo y negativo de la onda
portadora, Fig. 1.13, el proceso se conoce como muestreo regular asimétrico. Los
lados adyacentes de la onda portadora triangular intersectan la onda modulante
38
escalonada a niveles diferentes de escalón, así, la onda modulada resultante tiene
pulsos que son asimétricos con respecto al punto de muestreo.
Fig. 1.12. Esquema SPWM de muestreo regular simétrico.
Tanto para el muestreo regular simétrico como asimétrico, las formas de onda
moduladas de salida pueden describirse por expresiones analíticas. El número de
valores necesarios de una onda senoidal para definir una onda escalonada de
muestreo es igual a la relación de modulación de frecuencia p (muestreo
simétrico) o al doble, p 2 (muestreo asimétrico). En ambos casos el número de
valores de muestreo es mucho menor que en el muestreo natural que requiere una
39
muestra a instantes de muestreo de cada grado o medio grado de la onda
senoidal modulante.
Fig. 1.13. Esquema SPWM de muestreo regular asimétrico.
Es común que los sistemas PWM sean actualmente implementados con técnicas
digitales modernas usando PROM (memoria programable de solo lectura) y
circuitos LSI (integración a gran escala). Esto es en parte para evitar el uso de
sistemas electrónicos analógicos con sus problemas asociados como nivel de CD,
la confiabilidad en osciladores de baja frecuencia, etc.
La razón de que se prefiera usar la técnica PWM de muestreo regular en lugar de
la técnica de muestreo natural se debe a que requiere mucha menor memoria
40
computacional basada en ROM. Además, la naturaleza analítica de las formas de
onda del PWM de muestreo regular hace esta aproximación factible para su
implementación usando técnicas basadas en microprocesador ya que el ancho de
los pulsos es fácil de calcular.
1.11. Control escalar
1.11.1. Control Voltaje – Frecuencia (V/f)
Para generar la mayor posible relación de par por ampere en la corriente del
estator, y de aquí la mejor utilización posible de la capacidad de corriente
disponible del accionamiento, el flujo debe permanecer constante y cercano a su
valor nominal aún cuando las condiciones de operación varíen. Para lograr
trabajar a flujo constante se puede ajustar, para cada condición de operación, dos
parámetros controlables, que son la amplitud y frecuencia del voltaje de
alimentación.
Un simple esquema de Control Voltaje – Frecuencia (Volt/Hertz), también
conocido como V/F, se muestra en la figura 1.14. En este tipo de control la
relación entre la magnitud del voltaje y la frecuencia se conoce como ley de
mando. Los primeros convertidores que utilizaron esta técnica sólo permitían un
41
margen muy limitado de velocidades porque al mantener constante la relación V/F
no se ha tenido en cuenta que los devanados también tienen resistencia óhmica,
que no varía con la frecuencia.
El resultado es que a bajas frecuencias disminuye el par. Una posible solución es
aumentar un poco más la tensión a frecuencias bajas, o aumentarla en proporción
al consumo y la resistencia de los devanados. En los ventiladores y las bombas
centrífugas apenas se necesita para bajas velocidades y lo que suele hacerse es
reducir más la tensión para consumir menos energía.
Muchos inversores permiten seleccionar la relación entre la tensión y la frecuencia
según sea la carga mecánica.
Fig. 1.14. Control de velocidad V/F con regulación de la frecuencia del
deslizamiento
42
Las técnicas de control V/F están basadas en los modelos estáticos del motor de
inducción para la operación a flujo constante.
1.11.2. Control del Deslizamiento
En esta técnica de regulación las referencias trifásicas de corriente, son
comparadas con los valores instantáneos de las corrientes del motor. El error en la
entrada a los Reguladores de Corriente y Generación de PWM.
La amplitud de las referencias de corrientes se obtienen del bloque Generador de
Funciones y la frecuencia se obtiene de la adición de la señal de frecuencia del
rotor, que se obtiene mediante la medición de la velocidad con un encoder y la
señal de la frecuencia del deslizamiento. La frecuencia del deslizamiento se
obtiene de la salida del regulador de velocidad o de una tabla con los valores
optimizados del deslizamiento, en el caso de accionamientos con control del par.
Los Reguladores de Corriente y Generación de PWM pueden ser reguladores
histerésicos o reguladores proporcionales integrales (PI) con comparación con
rampa (método suboscilatorio), aunque también se pueden utilizar otras técnicas
de regulación. Este lazo intermedio de corriente, que usualmente debe tener un
ancho de banda grande,
hace que el inversor se comporte como fuente de
corriente, siendo la técnica de modulación PWM utilizada en los convertidores por
43
voltaje. En este caso se dice que el inversor está trabajando en modo de control
de corriente (Current Control Mode o simplemente CCM), también se conoce con
el nombre de inversor PWM regulado por corriente (Current Regulated Pulse
Width Modulation o simplemente CRPWM).
Fig. 1.15. Inversor CRPWM con control de la frecuencia del deslizamiento
1.12. MOSFET
La estructura física del Mosfet de acumulación canal n puede verse en la siguiente
figura.
44
Fig.1.16 Mosfet de acumulación canal n.
Los terminales son drenaje (D), compuerta (G), fuente (S) y sustrato (B). En
funcionamiento normal por el terminal sustrato para una corriente despreciable.
Para nuestro estudio supondremos que el sustrato se encuentra conectado a una
fuente por lo que tendremos un dispositivo de tres terminales. La compuerta se
halla aislada del sustrato por una fina capa de oxido (dióxido de silicio Si2O) y por
este terminal fluye una corriente despreciable. Cuando se aplica a la compuerta
una tensión positiva en relación a la fuente, los electrones se ven atraídos a la
región ubicada bajo la compuerta, induciéndose una tensión drenaje-fuente.
Entonces si se aplica una tensión entre drenaje-fuente fluirá una corriente que sale
del terminal fuente a través del canal hasta el terminal drenaje. La corriente del
drenaje estará controlada por la tensión que se aplica a la compuerta.
45
La longitud L y la anchura W del canal se muestran en la figura 1.16; la longitud
suele estar en el rango [0.2-10] mm y la anchura oscila entre [0.5-500] mm. La
capa de óxido tiene un espesor entre [0.05-0.1] mm.
Las características del dispositivo dependen de L, W y de parámetros de
fabricación tales como el nivel de dopaje y la anchura del óxido. El símbolo del
mosfet de acumulación canal n se muestra en la figura 1.17.
Fig.1.17. Símbolo esquemático de un Mosfet de acumulación canal n.
1.12.1 Funcionamiento en la región de corte
Consideremos la situación mostrada en la figura 1.18. Supongamos que se aplica
al drenaje una tensón positiva con respecto a la fuente y comenzamos con VGS=0.
Observe que en las interfaces drenaje-sustrato y fuente-sustrato aparecen uniones
pn. No fluye virtualmente corriente hacia el drenaje ya que la unión drenaje-
46
sustrato está polarizada en inversa por el generador VDS. A esto se le llama región
de corte. A medida que aumenta VGS el dispositivo permanece en corte hasta que
VGS alcanza un valor suficiente llamado tensión umbral Vto.
iD=0 para VGS<=Vto
Fig.1.18. Para VGS<Vto la unión pn entre drenaje y sustrato está polarizada en
inversa e id=0
1.12.2. Funcionamiento en la región óhmica
Ahora consideremos la situación que se muestra en la figura 1.19, en la que VGS
es mayor que la tensión umbral. El campo eléctrico que resulta de la tensión
47
aplicada a la compuerta ha repelido a los huecos de la región situada bajo la
compuerta y ha atraído electrones que pueden fluir con facilidad en la dirección de
polarización directa a través de la unión fuente-sustrato. Esta repulsión y atracción
simultáneas producen un canal tipo n entre drenaje-fuente. Entonces al aumentar
VDS, la corriente fluye hacia el drenaje a través del canal y de la fuente. Para
pequeños valores de VDS la corriente es proporcional a esta y la corriente de
drenaje es proporcional al exceso de tensión de la compuerta VGS-Vto.
Fig.1.19. Funcionamiento en la región óhmica
48
Para vGS >Vto, se introduce un canal de material de tipo n en la región que está
bajo la compuerta. A medida que aumenta vGS, el canal se hace más grueso. Para
valores pequeños de vGS, iD es proporcional a vDS. El dispositivo se comporta
como una resistencia cuyo valor depende de vGS.
Ahora ¿qué sucede si VDS continúa aumentando?. A causa del flujo de corriente,
la tensión entre los puntos del canal y la fuente se hace mayor a medida que nos
desplazamos hacia el drenaje. La tensión entre la compuerta y el canal disminuye,
con lo que resulta un afilamiento de la anchura del canal, como se muestra en la
figura 1.20. A causa de ese afilamiento del canal, su resistencia se hace mayor al
aumentar VDS, dando como resultado una menor tasa de crecimiento de iD. Para
VDS<vgs-vto y vgs>=vto decimos que el dispositivo trabaja en la región óhmica
corriente
de
drenaje
está
dada
por:
iD = k * [2 * (VGS - VTO) * VDS - VDS2]
donde:
y KP = mn * Cox (mn: movilidad superficial de los electrones, Cox:capacitancia de
la compuerta por unidad de área)
49
Fig.1.20. A medida que aumenta vDS, el canal se estrecha en el extremo del drenador, e iD se
incrementa con más lentitud. Por último, para vDS> vGS -Vto, iD permanece constante
1.12.3. Funcionamiento en la región de saturación
A medida que aumenta la tensión VDS, la tensión compuerta drenaje disminuye,
cuando VGD iguala al valor umbral Vto, la anchura del canal en el extremo del
50
drenaje se hace cero. Para posteriores aumentos de VDS, iD es constante, tal como
se muestra en la figura 1.20. A esto se le llama región de saturación, en la que
tenemos VGS >= Vto y VDS >= VGS - Vto y la corriente viene dada por: iD = K * (VGS
- Vto)2 .
1.13. IGBT (Insulated Gate Bipolar Transistor)
El IGBT es un dispositivo semiconductor de potencia híbrido que combina los
atributos del BJT y del MOSFET. Posee una compuerta tipo MOSFET y por
consiguiente tiene una alta impedancia de entrada. El gate maneja voltaje como el
MOSFET. El símbolo más comúnmente usado se muestra en la figura . Al igual
que el MOSFET de potencia, el IGBT no exhibe el fenómeno de ruptura
secundario como el BJT.
La estructura del IGBT es similar al un MOSFET de canal n, una porción de la
estructura es la combinación de regiones n+ , p y n- que forman el MOSFET entre
el source S y el gate G con la región de flujo n- que es el drain D del MOSFET.
Otra parte es la combinación de 3 capas p+ n- p, que crea un transistor de unión
bipolar entre el drain D y el source. La región p actúa como colector C, la región nactúa como la base B y la región p+ actúa como el emisor E de un transistor pnp.
51
Entre el drain y el source existen 4 capas p+n-pn+ que forman un tiristor. Este
tiristor es parásito y su efecto es minimizado por el fabricante del IGBT.
Consideremos que el IGBT se encuentra bloqueado inicialmente. Esto significa
que no existe ningún voltaje aplicado al gate. Si un voltaje VGS es aplicado al
gate, el IGBT enciende inmediatamente, la corriente ID es conducida y el voltaje
VDS se va desde el valor de bloqueo hasta cero. LA corriente ID persiste para el
tiempo tON en el que la señal en el gate es aplicada. Para encender el IGBT, la
terminal drain D debe ser polarizada positivamente con respecto a la terminal S.
LA señal de encendido es un voltaje positivo VG que es aplicado al gate G. Este
voltaje, si es aplicado como un pulso de magnitud aproximada de 15, puede
causar que el tiempo de encendido sea menor a 1
s, después de lo cual la
corriente de drain iD es igual a la corriente de carga IL (asumida como constante).
52
Una vez encendido, el dispositivo se mantiene así por una señal de voltaje en el
gate. Sin embargo, en virtud del control de voltaje la disipación de potencia en el
gate es muy baja.
EL IGBT se apaga simplemente removiendo la señal de voltaje VG de la terminal
gate. La transición del estado de conducción al estado de bloqueo puede tomar
apenas 2
s, por lo que la frecuencia de conmutación puede estar en el rango de
los 50 kHz.
EL IGBT requiere un valor límite VGS(TH) para el estado de cambio de encendido
a apagado y viceversa. Este es usualmente de 4 V. Arriba de este valor el voltaje
VDS cae a un valor bajo cercano a los 2 V. Como el voltaje de estado de
encendido se mantiene bajo, el gate debe tener un voltaje arriba de 15 V, y la
corriente iD se autolimita.
El IGBT se aplica en controles de motores eléctricos tanto de corriente directa
como de corriente alterna, manejados a niveles de potencia que exceden los 50
kW.
53
1.14. Inversor de onda cuadrada
El inversor va a ser el encargado de generar, a partir del voltaje suministrado por
el rectificador, voltajes en general sinusoidales de amplitud y frecuencia variable.
Evidentemente, en equipos de potencia, no es posible la utilización de
semiconductores en zona de potencia lineal, sino que habrá de trabajar como
interruptores. A la hora de diseñar el inversor se plantean dos problemas, aunque
con una conexión evidente: la elección de la etapa de potencia y la estrategia de
control.
Los convertidores indirectos un inversor en puente trifásico tienen una estructura
similar al de la figura 1.21. En general, está formado por seis dispositivos
electrónicos de potencia y otros componentes. Las tres líneas que alimentan el
motor se conectan secuencialmente al extremo positivo o negativo del circuito
intermedio. En el cual el voltaje de CD se asume con una derivación central por
conveniencia para la síntesis de las formas de ondas.
54
Fig. 1.21. Inversor a tiristores
Se puede conectar en cada instante un interruptor de la parte superior (el 1, el 3 o
el 5), y otro de la inferior (el 2, el 4 o el 6), de manera que siempre queda un borne
del motor sin conectar. En este caso cada interruptor solo conduce durante 1/3 del
tiempo total, pero así se facilita la conmutación.
Otra forma de accionar los interruptores es conectar las tres salidas al positivo o al
negativo, alternativamente, con un desfasaje de 1200. En estas condiciones el
voltaje de cada borne del motor respecto a un punto del circuito intermedio es una
onda cuadrada, pero si se analiza lo que sucede entre fase y el neutro (que se
define por el promedio del voltaje en las tres salidas) se comprueba que sigue una
ley de variación rectangular algo más parecida a una sinusoide.
55
Fig. 1.22. Formas de onda de los voltajes del inversor
Los voltajes de cada fase con respecto al punto central de CD pueden describirse
por series de Fourier:
va0 =
4V ⎡
1
1
⎤
cos w1t − cos 3w1t − cos 5w1t + K⎥
⎢
3
5
π ⎣
⎦
vb0 =
4V ⎡
1
1
⎤
cos w1t − 120 0 − cos 3 w1t − 120 0 − cos 5 w1t − 120 0 + K⎥
⎢
π ⎣
3
5
⎦
(
)
(
)
56
(
)
v c0 =
(
)
(
)
(
)
4V ⎡
1
1
⎤
cos w1t + 120 0 − cos 3 w1t + 120 0 − cos 5 w1t + 120 0 + K⎥
⎢
π ⎣
3
5
⎦
Donde V = 0.5 v cd .
Por lo que los voltajes de líneas se pueden obtener según:
v ab = v a 0 − v b0
vbc = vb0 − v c 0
v ca = v c 0 − v a 0
Combinando estas ecuaciones se obtienen los voltajes de líneas, los cuales serán:
(
)
(
)
(
)
(
)
(
)
(
)
v a0 = 3
4V ⎡
1
1
⎤
cos w1t − 30 0 − 0 − cos 5 w1t − 30 0 − cos 7 w1t − 30 0 + K⎥
⎢
π ⎣
5
7
⎦
vb0 = 3
4V ⎡
1
1
⎤
cos w1t − 90 0 − 0 − cos 5 w1t − 90 0 − cos 7 w1t − 90 0 + K⎥
⎢
π ⎣
5
7
⎦
vc0 = 3
4V ⎡
1
1
⎤
cos w1t + 150 0 − 0 − cos 5 w1t + 150 0 − cos 7 w1t + 150 0 + K⎥
⎢
π ⎣
5
7
⎦
(
)
(
)
(
)
Para el caso de la figura 1.21, en el cual el motor esta conectado en estrella sin
neutro, se puede obtener:
v a 0 = v an + v n 0
vb 0 = v bn + v n0
v c 0 = v cn + v n0
57
Como se considera que la fuente de alimentación es balanceada, entonces
v an + vbn + v cn = 0 , lo que sumando las ecuaciones de arriba resulta en:
v n0 =
1
(v a 0 + vb0 + v c0 )
3
Sustituyendo se obtiene:
v a 0 = v a 0 − v n0 =
1
(2 v a0 − vb0 − v c0 )
3
vb0 = vb0 − v n0 =
1
(2 vb0 − v a 0 − v c0 )
3
v c0 = v c0 − v n0 =
1
(2 v c0 − vb0 − vb0 )
3
El voltaje de fase se puede obtener gráficamente como se muestra en la figura
1.22 (g) o descrito por la serie de Fourier respectiva como se realizó. Como se
puede observar en dicha figura la forma de onda es escalonada en 6 pasos (sixstep), pero su fase esta desfasada 300 del voltaje de línea.
Para una carga trifásica balanceada y lineal, como es el motor de inducción, los
componentes individuales de la corriente de línea pueden ser calculados para
cada componente de la serie de Fourier de voltaje y entonces el resultado puede
obtenerse del principio de superposición. Una onda típica de corriente con carga
inductiva se muestra en la forma de onda v an de la figura 1.22 (g).
58
También se observará que sólo existen seis posibles combinaciones de los
voltajes de salida, lo que suele representarse como seis vectores situadas a 600 y
contrasta con los infinitos valores que puede alcanzar un voltaje sinusoidal.
Existe otra posibilidad que se combina con la anterior: Esta es conectar las tres
salidas al positivo o al negativo simultáneamente para que el voltaje entre ellas
sea cero. Esto permite variar la intensidad de la salida de forma continua, aunque
el voltaje de entrada sea constante. La estrategia consiste en anular el voltaje a
una frecuencia relativamente alta (llamada portadora) y variar el valor medio por la
relación entre los tiempos de conducción. Como la intensidad no puede cambiar
de forma instantánea, gracias a la reactancia de dispersión del motor y otras
bobinas que pueden añadirse en serie, sigue una ley de variación más suave que
se adapta a dicho valor medio. En general, está técnica se denomina modulación
por ancho de pulso (PWM) y se utiliza principalmente con transistores.
1.15. METODOLOGIA
Recopilé toda la información necesaria acerca de todo lo relacionado con
modulación PWM natural en libros y Internet.
Después procedí a buscar todo lo relacionado con el DSP 56F801 de motorola
para poder crear mi algoritmo para generar las señales PWM ya desfasadas para
enviarlas a los IGBTs.
59
Tambien adquiri muchisimos conocimientos en el diplomado Aplicaciónes de los
Convertidores de Frecuencia para poder llevar a cabo mi proposito con la
modulacion PWM natural.
1.16. CRITERIOS GENERALES DE DISEÑO
La necesidad de construir un controlador escalar utilizando técnicas PWM natural,
exige la teoría anteriormente planteada.
Implantar un sistema capaz de controlar un puente inversor utilizando
técnicas de modulación PWM natural.
Un controlador en donde sus frecuencias tengan un bajo contenido de
armónicos y componentes de DC que frenen o afecten el funcionamiento
del motor.
Utilizar herramientas de diseño como Psim, Simulink para generar las
tablas de tiempos on/of.
Poder realizar un arranque escalonado recorriendo el diapasón de
Frecuencias para proteger el puente inversor.
60
1.17. Simuladores
En la actualidad los simuladores y emuladores forman parte de todo diseño
electrónico con el fin de ahorrar trabajo y tener una visualización ideal del
funcionamiento del circuito o sistema en general. Los simuladores Hacen un
acercamiento
ideal
a
la
realidad
cumpliendo
con
unas
ecuaciones
fisicomatemáticas que modelan el comportamiento de los elementos electrónicos y
la combinación de los mismos. Para el desarrollo de este sistema se ha optado por
utilizar varios simuladores con el fin de comparar al final los resultados y así tener
una idea mas aproximada al momento de la implementación. Los simuladores a
Utilizar en esta investigación serian:
Simulink: Herramienta didáctica muy poderosa de Matlab Para la simulación de
sistemas teniendo en cuenta el modelamiento de los mismos.
61
Librerías de simulink.
PSIM Demo: Aunque es una versión demo nos es de mucha utilidad al momento
de simular el motor con el control general y además muestra un resultado de la
simulación en un archivo de texto, además permite realizar la transformada rápida
de fourier. Este simulador puede interactuar con simulink que es otro de los
simuladores elegidos.
62
Interacción de Psim con Simulink.
1.18. DETERMINACION DE TABLAS PARA VALORES ON/OFF DEL PWM.
Estas tablas son determinadas a partir de simuladores en este caso PSIM con el
que realizaremos el muestreo de la señal.
63
Diseño de la tabla en PSIM.
Dado a que PSIM genera un archivo en block de notas, podemos determinar los
tiempos on/off de la señal de los cuales tomaremos únicamente los necesarios
para generar ¼ de onda, los demás tiempos de los otros cuartos de onda serian
aproximadamente los mismos alternando los espacios.
1.19. PASOS PARA EL DISEÑO DEL MODULADOR PWM NATURAL.
Primer paso (obtención de la señal seno):
Dado que esta es una modulación especialmente para sistemas digitales o
equipos computacionales lo que debemos hacer es visualizar la señal seno
natural, para realizar este procedimiento utilizo la ayuda de los simuladores.
64
P.1.Visualización de la señal seno.
En la siguiente figura observaremos la simulación de la onda seno en Psim del
montaje P.1. en donde visualizaremos un ciclo completo.
65
P.2.Señal de entrada en Psim.
Hay que tener en cuenta que al momento de realizar el análisis espectral no se
generen muchos armónicos y si se generan aumentar el muestreo para recuperar
algunas datos de la señal original que se habían perdido.
66
P.3.Análisis espectral de la señal seno de entrada.
Segundo paso (obtención de la señal PWM natural):
Ya teniendo la seno se realiza el muestreo con una triangular de la misma
frecuencia ya que esta nos dará un resultado de PWM Natural simétrica.
P.4.Modulador PWM Natural.
66
Hay que tener en cuenta que el resultado de este muestreo generara la señal
PWM Natural. También hay que tener en cuenta que el muestreo que se realice
cumpla con los criterios de una señal óptima PWM Natural. En la figura P.4. Se
toman las señales portadora y moduladora y se comparan y los puntos de corte
obtenidos de esta comparación nos dan como resultado la señal PWM Natural.
P.5.Visualización de las señales y su resultante PWM Natural.
Onda Seno (moduladora).
Onda Triangular (portadora).
PWM Natural (resultante).
Como se observa en la figura P.5. Los puntos de corte obtenidos entre la señal
moduladora y la portadora al hacer la comparación de estas dos señales nos da
como resultado la señal PWM Natural (resultante), Como se puede ver los puntos
de intersección que se generan no son siempre con el mismo tiempo y por esto es
67
que varia el ancho de los pulsos y a medida que aumenta la amplitud de la señal
seno aumenta el ancho de los pulsos y así cuando disminuye la amplitud de la
señal seno también disminuye el ancho de los pulsos. De acuerdo al muestreo se
produce una señal PWM Natural en la que los pulsos que la componen deben
tener como máximo periodo, el de muestreo.
P.6.Armónicos de las señales que actúan y resultan del modulador.
68
Análisis espectral de la señal seno.
Análisis espectral de la señal triangular
Análisis espectral de la señal PWM Natural.
De acuerdo al resultado se puede decir que esta señal es optima para de ella
tomar la tabla para la frecuencia que corresponde a la señal seno que se utilizó
para esta simulación.
P.7.Muestreo del semiciclo positivo de la PWM Natural.
69
Semiciclo positivo de la onda seno.
Onda triangular.
PWM Natural (resultante para el semiciclo positivo)
En la figura P.7. Podemos analizar los puntos de corte de la onda moduladora
(Onda seno) con la onda portadora (Onda triangular), para el semiciclo positivo de
la onda moduladora. De esta manera obtenemos los tiempos de on/off de la PWM
Natural para el semiciclo positivo, para obtener nuestra tabla de datos de la PWM
Natural.
P.8.Análisis espectral de las señales del semiciclo positivo.
70
Si nos devolvemos y comparamos las graficas P.8. y P.6. Se puede decir que son
prácticamente las mismas así que la distorsión no aumentara porque se tomen
muestras en el semiciclo positivo, siempre y cuando se conserve la frecuencia de
muestreo y la amplitud de la portadora sea igual o un poco mayor a la moduladora
para evitar la sobremodulacion y por ende datos erróneos que podrían aumentar la
distorsión armónica total.
Ya con todos estos datos se puede determinar una tabla con los valores on/off
para cada frecuencia, pero queda algo más. Mirar el comportamiento del motor en
la simulación con esta señal que dio como resultado.
1.20. Simulación del variador de frecuencias con PWM Natural.
Aprovechando los resultados en PSIM de la onda resultante quedaría tan solo
averiguar en este simulador como se comporta el motor en caso ideal con esta
PWM Natural que he obtenido como respuesta y con la cual se construyo la tabla
para la simulación con el PIC.
1.21. Pasos para la simulación del convertidor con PWM Natural.
71
Primer paso (obtención de una onda seno trifasica):
Como se hizo anteriormente, se visualizara la señal seno de referencia, pero a
diferencia esta será una seno natural trifásica.
P.9.Montaje de una onda seno trifásica desfasada 120°.
P.9.Digitalización de una onda seno trifásica desfasada 120°.
72
P.10.Onda seno trifásica.
En la figura P.9. se encuentran las tres señales senos o de referencia desfasadas
120° entre sino para lograr nuestra seno trifásica para la simulación.
Segundo paso (obtención de la señal PWM Natural trifásica):
Se realiza de la misma forma que fue generada con anterioridad y teniendo en
cuenta que ahora son tres señales seno y que están desfasadas 120°. La señal
triangular (portadora) como se ve en la figura P.11. solo se toma una fuente de
73
señal triangular, hay que tener en cuenta que esta señal este sincronizada para
todas las señales seno para obtener una señal PWM Natural.
P.11.Modulador PWM Natural trifásico.
P.12.Onda seno natural trifásica y su respuesta en PWM natural.
74
Ya como se ha venido realizando en simulaciones anteriores la figura P.12.
muestra una de las tres fases tenidas en cuenta para la generación de la
resultante (PWM Natural).
Tercer paso (etapa de potencia):
Se simula la etapa de potencia utilizando una rectificación de una onda seno
trifásica la cual será filtrada y como efecto de suicheo un puente H trifásico
compuesto por seis IGBTs.
P.13.Diseño del convertidor de frecuencia trifásico utilizando modulación
PWM Natural.
75
P.14.Señales resultantes a la salida del convertidor.
En la figura P.14. se encuentra el resultado de la señal de salida del puente
inversor que esta compuesto por 6 IGBTs. En esta figura se alcanza a observar
una ondulación mínima en la señal digital de salida esto es debido a que la
filtración de la seno en la etapa de potencia no es perfecta y contiene un rizado
mínimo.
76
P.15.Análisis espectral a la salida del convertidor.
Debido al rizado por la filtración no perfecta de la señal de potencia se incrementa el
contenido de armónicos en el motor así que no solo es en el controlador donde se debe
tener cuidado con los armónicos sino que en la etapa de potencia se debe realizar una
filtración mas exacta y que elimine por completo el rizado ya que estos armónicos son
impares, los cuales son fatales para la vida útil de un motor.
1.22. DESARROLLO DE LAS TABLAS
77
Como se menciono en capítulos anteriores para el desarrollo de las tablas que
contienen los tiempos de los pulsos se requiere de un software de simulación en el
cual se implementa el modulador que para nuestro caso es un PWM con muestreo
natural. El software usado es el PSIM 6.0, dicho modulador se muestra en la
siguiente figura.
P.16.es PWM desfasadas 120º
78
Una vez modulada la señal PWM en PSIM, lo que resta es tomar los valores de
los tiempos, PSIM automáticamente genera un archivo de tipo archivo de texto
que lleva el mismo nombre del archivo de la simulación del modulador, y queda
guardado en la misma carpeta en donde se guardo el archivo de la simulación del
modulador.
Cabe recordar que todo lo posteriormente escrito esta basado en una simulación
con una frecuencia de 60Hz para la señal moduladora, y una frecuencia de
1.5KHz para la señal portadora del modulador completo.
Para encontrar el tiempo exacto de los tiempos aplicamos la siguiente ecuación, y
teniendo en cuenta la Fig.P.17.nos muestra la señal PWM en la fase de 0 grados
de un cuarto de onda, obtenemos:
Fig.P.17. SEÑAL CUARTO DE ONDA DE FASE 0º
79
t _ on = t _ off = t 2 − t1
(136)
La siguiente tabla ilustra los tiempos t1 y t 2 tanto como t_on y t_off y aplicando la
ecuación 136 obtenemos:
t1 en on
t2 en on
t1 en off
t2 en off
t_on en μs
t_off en μs
0.1e-4
0.17e-3
0.18e-3
0.47e-3
160
290
2 0.48e-3
0.87e-3
0.88e-3
0.111e-2
390
230
3 0.112e-2
0.157e-2
0.158e-2
0.175e-2
450
170
4 0.176e-2
0.226e-2
0.227e-2
0.239e-2
500
120
5 0.240e-2
0.295e-2
0.296e-2
0.304e-2
550
80
6 0.305e-2
0.363e-2
0.364e-2
0.370e-2
580
60
7 0.371e-2
0.429e-2
1
580
Tabla.P.17.
A partir de la tabla anterior y de la Fig.P.18. Podemos obtener los valores
completos de la señal PWM a partir de solamente los valores de un cuarto de
onda registrados en la tabla anterior.
80
Fig.P.18. CUARTO DE ONDA PWM.
Si observamos detalladamente los instantes marcados con números de la
Fig.P.18. Encontramos que:
Análisis 1
La señal de 0 a
T
4
T
4
es la misma de
a 0. Es como si en
T
4
T
4
a
T
2
localizáramos un espejo de
T
4
hacia 0. Por eso en la
T
4
a
T
2
solo que en
81
existen los valores de
grafica vemos que de 0 a
T
4
están los instantes de 1 a 14 y de
T
4
a
T
2
los instantes
se regresan de 13 hasta 1.
Análisis 2
Cabe destacar que en
T
2
se encuentra un instante de pulso marcado con el
numero 1, este instante es igual que el primer pulso marcado con el instante 1 un
instante después de T=0, aunque físicamente no son iguales. Debido a que en la
tabla tenemos que para este primer pulso marcado con el instante 1 tiene un
tiempo de 160μs, debemos encontrar el tiempo que hace falta para que este pulso
tenga el mismo tiempo del pulso marcado con el instante 1 en
T
2
. Este tiempo lo
podemos obtener de la tabla que PSIM genera automáticamente en un archivo de
tipo de texto. Después de hacer lo dicho anteriormente se obtiene que el valor de
este tiempo en el pulso marcado con el instante 1 en
T
2
es de 330 μs, lo que
quiere decir que al primer pulso de 160μs le faltan 270 μs para completar el pulso
y ser igual a todos los pulso marcados con el instante 1.
Análisis 3
Ahora, la señal de
que de 0 a
T
2
T
2
a T es la misma que la señal de 0 a
eran altos, ahora son bajos de
82
T
2
a T.
T
2
, solo que los pulsos
Teniendo en cuanta el análisis anterior obtenemos la señal completa PWM en 0
grados a partir de un cuarto de onda mostrada en el siguiente vector:
Va_0º = [ 160, 290, 390, 230, 450, 170, 500, 120, 550, 80, 580, 60, 580, 60, 580,
60, 580, 80, 550, 120, 500, 170, 450, 230, 390, 330, 290, 230, 450, 170, 500, 120,
550, 80, 580, 60, 580, 60, 580, 60, 580, 80, 550, 120, 500, 170, 450, 230, 390, 120
]
(137)
Los valores del vector anterior están en un orden de microsegundos.
Después de obtener los valores de las tablas procedo a crear el algoritmo para
generar las señales en el DSP 56F801 que visualizaremos en el osciloscopio para
analizar el desfase entre cada una de ellas.
1.23. ADQUISICION DE VALORES PARA LAS FASES EN 0º, -120º Y -240º
83
Fig.P.19. SEÑALES PWM DESFASADAS 120º
De la Fig.P.19. se muestran las tres señales PWM desfasadas, podemos hacer el
siguiente análisis:
Para la señal en 0º de la Fig.P.19. uno de los pulsos está marcado con números,
entonces lo único que resta es fijarnos en un pulso de la señal de 0º y encontrarlo
en las señales de -120º y -240º. Para este caso me e fijado en el pulso marcado
con el numero 3 de la señal de 0º y posteriormente lo localice en las dos señales
restantes.
84
Si cada uno de los números de la señal de 0º representa la posición de cada uno
de los valores del vector Va_0º, entonces los vectores que representan las señales
a -120º y -240º las podemos obtener de la siguiente manera:
Como podemos notar el primer pulso para la señal a -120º es el 33, entonces lo
que debemos hacer encontrar la posición 33 del vector Va_0º y así obtenemos el
primer pulso de la señal a -120º. Claro esta que este pulso solo contiene una parte
del pulso completo, luego debemos encontrar su valor real remitiéndonos a la
tabla guardada como archivo de texto y realizar el mismo análisis explicado en el
Análisis 2 de la sección anterior.
De esta misma manera obtenemos los valores para la fase a -240º, entonces
teniendo en cuenta todo lo anterior los vectores restantes son:
Vb_-120º = [ 30, 550, 80, 580, 60, 580, 60, 580, 60, 580, 80, 550, 120, 500, 170,
450, 230, 390, 280, 290, 390, 230, 450, 170, 500, 120, 550, 80, 580, 60, 580, 60,
580, 60, 580, 80, 550, 120, 500, 170, 450, 230, 390, 330, 290, 390, 230, 450, 170,
500, 90 ]
(138)
Vc_-240º = [ 260, 60, 580, 80, 550, 120, 500, 170, 450, 230, 390, 330, 290, 390,
230, 450, 170, 500, 120, 550, 80, 580, 60, 580, 60, 580, 60, 580, 80, 550, 120,
500, 170, 450, 230, 390, 280, 290, 390, 230, 450, 170, 500, 120, 550, 80, 500, 60,
580, 60, 320 ]
(139)
85
SEÑALES PWM A 60º, 50º Y 40º
A continuación se exponen las señales PWM que se generan a la salida del
modulador a diferentes frecuencias. Podemos notar como en la parte inferior de
cada figura se grafica a la vez la señal moduladora a diferentes frecuencias
(40º,50º y 60º) y la señal de la portadora a 1.5KHz.
Si observamos la señal PWM en 0º podemos observar que para el primer medio
periodo los pulsos positivos tienen un mayor tiempo de ciclo útil, e inmediatamente
después de T/2 los ciclos útil y no útil se invierten, es decir, que el tiempo en que
un pulso permanecía en On en la primera mitad del periodo ahora permanece en
Off en la segunda mitad del periodo.
GRAFICAS DE FASE 0º A 60,50 Y 40H RESPECTIVAMENTE
86
87
GRAFICAS DE -120º A 60,50 Y 40H RESPECTIVAMENTE
88
GRAFICAS DE FASE -240º A 60,50 Y 40H RESPECTIVAMENTE
89
90
SEÑALES PWM A LA SALIDA DEL INVERSOR DE 0º,-120º Y-240º A 60H
RESPECTIVAMENTE.
En La siguiente figura podemos observar las señales PWM que resultan a la
salida del inversor a diferentes frecuencias de la señal portadora, recordemos
que esta señale se generan mediante la simulación de la figura P.13. De
estas figuras podemos observar como las señales mantienen el mismo ciclo
útil en todos los pulsos de todo el periodo, debido a que el circuito inversor se
encarga de transformar la señal, dotándola de pulsos negativos en un medio
periodo.
91
92
1.24. PROCESADOR DIGITAL DE SEÑALES DSP.
Este dispositivo es el elemento principal de nuestra implementación.
Cuando hablamos de microprocesadores, microcontroladores y DSP’s nos
referimos en si a una misma familia la de los procesadores digitales de señales ya
que en la actualidad, debido a la reducción de coste y a la elevada potencia de
calculo, las diferencias entre ellos son casi inexistentes
Las características principales de los DSP’S:
Funcionalidad: los microprocesadores actuales se clasifican según su
Arquitectura de programación en:
RISC, que manejan un conjunto reducido de instrucciones, con rápido tiempo de
CISC, con muchas instrucciones, de las cuales algunas necesitan muchos ciclos
para
SISC, cuenta con un juego específico de instrucciones para aplicaciones
concretas.
Los DSP’S mas utilizados incluyendo el de este proyecto son de arquitectura
RISC.
Arquitectura: otra característica importante para los microprocesadores es su
clasificación según la estructura interna de estos, que pude ser:
Von Neuman: la memoria de programa y la memoria de datos utilizan los mismos
buses para el manejo de direcciones, datos y control.
Harvard: la memoria de programa y la memoria de datos utilizan buses de
93
direcciones, de datos y de control independientes. esto permite leer instrucciones
a la vez que se ejecutan unas ya leídas.
En cuanto a arquitectura interna la mayoría de los DSP’S son de tipo Harvard, en
muchos casos mejorada supersegmentada para acelerar la ejecución de
programas.
Zona De Manejo De Datos: la estructura interna es orientada a registros,
disminuyendo el número de transferencias entre el acumulador y la zona de
manejo de datos. Poseen varios operadores aritméticos independientes.
Estructura General De Un DSP.
1.25. PARÁMETROS GENERALES DE DISEÑO
Para el desarrollo de nuestro sistema debemos tener en cuenta los siguientes
Requerimientos.
Desarrollo de un controlador para convertidores de frecuencia basado en
94
estrategia de modulación PWM de varios pulsos, utilizando tecnología DSP.
Como técnica de control para el diseño del sistema se utiliza el control escalar.
Las frecuencias manejadas por el DSP para la estrategia de modulación deben
ir acordes a los tiempos nominales de conmutación del puente ondulador.
Determinar los tiempos on/off para la modulación mediante herramientas de
simulación como PSIM.
Implementar un sistema que se caracterice por su optimización en cuanto al
bajo contenido de armónicos presentes en nuestras señales de mando dentro de
los parámetros que esta modulación lo permita.
95
ANALISIS ECONOMICO Y ADMINISTRATIVO
Uno de los principales atractivos del diseño de la simulación de la modulación
PWM para motores trifásicos es su bajo costo, acompañado de un reducido
tamaño y un sistema completamente didáctico. Que garantiza una solución
atractiva, para resolver el problema que representa la adquisición de este tipo de
equipos en el mercado local.
El costo de la simulación PWM Natural para motores trifásicos es muy
relativamente bajo y nos permite analizar el comportamiento de estos motores
trifásicos, y nos permite tener una idea mas clara del comportamiento de estos
equipos.
Los siguientes cuadros muestran costos conjuntos en el desarrollo del trabajo:
Dispositivo
Cantidad
Costo
Computador
1
$ 1’500.000
Osciloscopio
1
$ 14’000.000
$ 16’500.000
Total
Objeto
Detalle
Ingeniería y estudio
805 Horas
96
Costo
8’000.000
Tutoría
800.000
Internet
Papelería
Impresiones
60 Horas
9.000
2 Resmas
20.000
Varias
50.000
8’879.000
Total
97
ANÁLISIS DE LEGALIDAD
Para el diseño y la simulación de la modulación PWM natural, se utilizaron como
herramientas de desarrollo la versión demo PSIM 6.1 de distribución gratuita”. Por
ello, desde el punto de vista legal, el diseño y simulación de la técnica de
modulación PWM Natural para el control de motores trifásicos, se encuentra libre
de requisitos que comprometan la propiedad intelectual y material del diseño. La
cual en este caso, sólo le corresponde al autor y a la Universidad de Pamplona.
98
PROTECCION E HIGIENE DEL TRABAJO
El simulador no presenta partes o componentes que requieran ser cambiados de
forma periódica, pero es necesario que antes de poner en marcha el equipo se
chequeen todas las partes externas, y se compruebe el buen estado físico del
mismo.
La simulación no produce chispas ni olores que puedan dificultar el desarrollo de
las prácticas en el laboratorio.
99
INFLUENCIA AMBIENTAL DEL TRABAJO
Este proyecto cumple con uno de los objetivos primordiales de la ingeniería como
es dar soluciones concretas a problemas específicos, más aun cuando se traten
de problemas que abarque un sentido social y de conservación ambiental.
Este proyecto representa el esfuerzo que hace la comunidad científica de todo el
mundo, para conservar y aprovechar de una forma más eficiente los recursos
naturales.
100
CONCLUSIONES
1. Este trabajo constituye un intento de colocar a la Universidad de Pamplona
en una posición avanzada dentro del estudio y la simulación de las técnicas
de modulación especialmente PWM Natural.
2. Este proyecto permite realizar un uso más eficiente y racional de la energía
eléctrica y de los recursos naturales.
3. Con la experiencia obtenida con el diseño y simulación del PWM Natural, se
tienen parámetros importantes para desarrollar prototipos más eficientes y
económicos.
4. Con la implementación en el DSP 56F801 de la simulación del PWM natural
se pudo observar la exactitud con que se obtienen las señales en la
modulación.
5. Observe que los datos de la simulación en PSIM son exactos, porque al
implementarlo en el DSP a través del algoritmo se cumplía la señal PWM
con la misma exactitud que en la modulación.
101
RECOMENDACIONES
Con la experiencia obtenida en el diseño y simulación de la modulación PWM
natural para el control de motores trifásicos, podemos sugerir la continuación
del trabajo. Siguiendo por la dirección del control escalar con la utilización de
circuitos integrados de aplicación específica (ASIC).
En cualquier caso, la alternativa que da resultados más rápidos en el diseño de
circuito de regulación de velocidad de motores, es la correspondiente al
empleo de paquetes de software para el desarrollo especifico del tipo de
aplicaciones que nos ocupa.
102
ANALISIS BIBLIOGRAFICO
El ahorro de energía mediante el empleo de los reguladores de velocidad de
motores es un tema muy actual, por ello en nuestro medio no se cuenta con
referencias bibliográficas que brinden un análisis completo de este tipo de
innovaciones.
A continuación se listan
los documentos que aportaron resultados más
importantes para el diseño e implementación de este proyecto.
Muhammad H. Rashid, Power Electronics, México, Prentice Hall, 1993.
Stephen. J. Chapman, Máquinas Eléctricas, Bogotá, Mc Graw Hill.
Figueres Amorós Emilio, Simulación de Circuitos Electrónicos de Potencia con
Pspice, México, 2001.
103
BIBLIOGRAFIA
Muhammad H. Rashid, Power Electronics, México, Prentice Hall, 1993.
Stephen. J. Chapman, Máquinas Eléctricas, Bogotá, Mc Graw Hill.
Figueres Amorós Emilio, Simulación de Circuitos Electrónicos de Potencia con
Pspice, México, 2001.
Robledo Vélez Rodrigo, Motores de Corriente Alterna y Controles, Área Industrial
U.S.B, Medellín, 1992.
Vargas Amado Luis, Diseño y Construcción de un Generador Prototipo de
Energía, Universidad Districtal, Bogotá, 2000 .
Timothi J. Maloney, Electrónica Industrial Moderna, Prentice Hall, México, 1997.
Pardo G., A. y Díaz R., J. L. Aplicación De Los Convertidores De Frecuencia.
Estrategias De Control. Universidad de Pamplona, Colombia 2004.
104
ANEXOS
En la siguiente figura podemos observar el DSP 56F801 de motorota con todas
sus respectivas salidas.
DSP 56F801
Podemos observar el DSP 56F801 con sus respectivas salidas conectadas al
osciloscopio para visualizar las señales PWM natural.
DSP 56F801 CON SUS RESPECTIVAS SALIDAS
105
Visualización de las señales PWM natural con sus ciclos positivos y negativos
respectivamente desfasados 120º, para que las entienda la lógica de los IGBTs.
SEÑALES OBTENIDAS CON EL DSP EN EL OSCILOSCOPIO
106
Montaje completo del PWM natural para generar las 6 señales que van a los
IGBTs desfasadas 120º cada una de la otra.
MONTAJE COMPLETO DEL PWM NATURAL
Practicas para generar las señales en el DSP 56F801, manipulando un
osciloscopio de 16 canales Agilent 54622D de 100MHz.
107
PRACTICAS CON EL DSP PARA GENERAR LAS SEÑALES PWM
108
109
110
ALGORITMO EN EL DSP 56F801 DE MOTOROLA
#define M5680X
#include "dsp568000x.h"
void
test(void);
static void delay ();
int main(void)
{
int temp=0;
int tabla[61];
int i,control1=1,control2=1,control3=1,c,pointer;
int con1=0,con2=20,con3=40;
int pointer1=1,pointer2=20,pointer3=40;
tabla[1]=274;
tabla[2]=263;
tabla[3]=319;
tabla[4]=212;
tabla[5]=366;
tabla[6]=169;
tabla[7]=413;
tabla[8]=119;
tabla[9]=458;
111
tabla[10]=77;
tabla[11]=492;
tabla[12]=49;
tabla[13]=512;
tabla[14]=41;
tabla[15]=521;
tabla[16]=32;
/*** Cuarto de onda ***/
tabla[17]=521;
tabla[18]=41;
tabla[19]=512;
tabla[20]=49;
tabla[21]=492;
tabla[22]=77;
tabla[23]=458;
tabla[24]=119;
tabla[25]=413;
tabla[26]=169;
tabla[27]=366;
tabla[28]=212;
tabla[29]=319;
tabla[30]=263;
/*** Media onda ***/
tabla[31]=137;
tabla[32]=137;
112
tabla[33]=263;
tabla[34]=319;
tabla[35]=212;
tabla[36]=366;
tabla[37]=169;
tabla[38]=413;
tabla[39]=119;
tabla[40]=458;
tabla[41]=77;
tabla[42]=492;
tabla[43]=49;
tabla[44]=512;
tabla[45]=41;
tabla[46]=521;
tabla[47]=32;
/***tres cuartos de onda ***/
tabla[48]=521;
tabla[49]=41;
tabla[50]=512;
tabla[51]=49;
tabla[52]=492;
tabla[53]=77;
tabla[54]=458;
tabla[55]=119;
113
tabla[56]=413;
tabla[57]=169;
tabla[58]=366;
tabla[59]=212;
tabla[60]=319;
tabla[61]=263;
/*** onda completa ***/
test();
asm(bfclr
#$0020,X:GPIOB_DR);
//pone 1 en el bit B5
while(1)
{
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
114
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
//****** CONTROL FASE 1 ************
if (tabla[pointer1]==con1)
{
if (control1==1)
{
if (pointer1<=31)
{
asm (bfset #$0020,x:GPIOB_DR);
asm (bfclr #$0080,x:GPIOB_DR);
}
else
{
asm (bfclr #$0080,x:GPIOB_DR);
asm (bfclr #$0020,x:GPIOB_DR);
}
control1=0;
}
115
else
{
if (pointer1<=31)
{
asm (bfclr #$0020,x:GPIOB_DR);
}
else
{
asm (bfset #$0080,x:GPIOB_DR);
}
control1=1;
}
con1=0;
pointer1++;
if (pointer1==32)
{
control1=0;
}
if (pointer1==62){pointer1=1;}
}
116
//****** CONTROL FASE 2 ************
if (tabla[pointer2]==con2)
{
if (control2==1)
{
if (pointer2<=31)
{
asm (bfset #$0040,x:GPIOB_DR);
asm (bfclr #$0010,x:GPIOB_DR);
}
else
{
asm (bfclr #$0010,x:GPIOB_DR);
asm (bfclr #$0040,x:GPIOB_DR);
}
control2=0;
}
else
{
if (pointer2<=31)
{
asm (bfclr #$0040,x:GPIOB_DR);
117
}
else
{
asm (bfset #$0010,x:GPIOB_DR);
}
control2=1;
}
con2=0;
pointer2++;
if (pointer2==32)
{
control2=0;
}
if (pointer2==62){pointer2=1;}
}
//****** CONTROL FASE 3 ************
if (tabla[pointer3]==con3)
{
if (control3==1)
{
if (pointer3<=31)
{
asm (bfset #$0001,x:GPIOB_DR);
118
asm (bfclr #$0002,x:GPIOB_DR);
}
else
{
asm (bfclr #$0002,x:GPIOB_DR);
asm (bfclr #$0001,x:GPIOB_DR);
}
control3=0;
}
else
{
if (pointer3<=31)
{
asm (bfclr #$0001,x:GPIOB_DR);
}
else
{
asm (bfset #$0002,x:GPIOB_DR);
}
control3=1;
}
con3=0;
pointer3++;
119
if (pointer3==32)
{
control3=0;
}
if (pointer3==62){pointer3=1;}
}
con1++;
con2++;
con3++;
}
}
void test(void)
{
asm (move
#$0000,x:GPIOB_PER)
asm (move
#$0000,X:GPIOB_PUR)
asm (move
#$0000,X:GPIOB_IAR)
asm (move
#$0000,X:GPIOB_IENR)
asm (move
#$0000,X:GPIOB_IPOLR)
asm (move
#$0000,X:GPIOB_IESR)
asm (move
#$00F3,x:GPIOB_DDR)
asm (move
#$0000,x:GPIOB_DR)
}
120
121
Descargar