diseño e implementacion de un control de mando optimizado

Anuncio
UNIVERSIDAD DE PAMPLONA
PROGRAMA DE INGENIERÍA ELECTRÓNICA
TRABAJO PRESENTADO PARA OPTAR POR ELTITULO DE INGENIERO
ELECTRÓNICO
TITULO: “DISEÑO E IMPLEMENTACION DE UN
CONTROL DE MANDO OPTIMIZADO UTILIZANDO MODULACION POR
ELIMINACION DE ARMONICOS PARA EL CONTROL DE UN MOTOR
TRIFASICO”
AUTOR: EDWIN YESIDH RIOS CONTRERAS
DIRECTOR: MSC. JORGE LUIS DIAZ RODRIGUEZ
PAMPLONA COLOMBIA
SEPTIEMBRE 2006
ABSTRACT
Design and simulation of a convertor of frequency using modulation PWM for the
method of the elimination of harmonic for the control of a motor trifsas, this based
on analysis of frequencies of the modulated sign using transformations of Fourier
for that this form to eliminate or to diminish to the minimum the undesirable
harmonic to generate a wave form fully efficient and that it guarantees the good
operation of the motor for that this form to obtain the best profit of the one. Already
having the wave forms optimized by means of mathematical analysis and of digital
simulations, they are introduced in the DSP 56F801 of motorola the obtained
charts, this device is the control of control of the stage of power that adapts these
digital signs so that the motor works optimally.
2
RESUMEN
Diseño y simulación de un convertidor de frecuencia usando modulación PWM por
el método de la eliminación de armónicos para el control de un motor trifásico, esto
basado en análisis de frecuencias de la señal modulada usando transformaciones
de Fourier para así de esta forma eliminar o disminuir al mínimo los armónicos
indeseables para generar
una forma de onda plenamente eficiente y que
garantice el optimo funcionamiento del motor para asi de esta forma obtener el
mejor provecho de el. Teniendo las formas de onda ya optimizadas por medio de
análisis matemáticos y de simulaciones digitales, se introducen en el DSP 56F801
de motorola las tablas obtenidas, este dispositivo es el control de mando de la
etapa de potencia que adecua estas señales digitales para que el motor funcione
óptimamente.
3
CONTENIDO
INTRODUCCION
8
PROBLEMA
9
JUSTIFICACION
10
OBJETIVOS
11
MARCO TEORICO
12
1.1 Desarrollo tecnológico de los convertidores de frecuencia
1.2 Motor de C. A.
13
1.2.1 Partes del motor
14
1.2.2 Principio de funcionamiento del motor de inducción.
16
1.2.3 Parámetros básicos del motor de inducción.
17
2. MODULACION PWM
19
2.1 Fundamentos de la Modulación por ancho de pulso
19
2.2. Métodos de Modulación por ancho de pulso
23
2.2.1. Modulación de pulso simple
24
2.2.2. Modulación de pulsos múltiples
24
2.2.3. Modulación sinusoidal
25
2.3. Razón de modulación (Carrier Ratio)
27
2.4. Modulación asincrónica
30
2.5. Modulación sincrónica
32
4
2.6. Índice de modulación (Modulation Depth)
32
2.7. Modulación por ancho de pulso natural
32
2.8. Modulación senoidal con muestreo natural
36
3. DISPOSITIVOS MOSFET
41
3.1 Funcionamiento en la región de corte
43
3.2 Funcionamiento en la región óhmica
44
3.3. Funcionamiento en la región de saturación
47
3.4 IGBT (Insulated Gate Bipolar Transistor)
48
3.5 Inversor de onda cuadrada
53
4. PROCESADOR DIGITAL DE SEÑALES DSP
56
4.1 Zona De Manejo De Datos
57
5. DESCRIPCION DEL SISTEMA
59
5.1 Método de la eliminación de armónicos
59
5.2 Descripción de las simulaciones
65
5.2.1 Simulación PWM de una fase
67
5.3 Análisis de la simetría de la señal modulada
72
5.4 Construcción de la tabla de tiempos y ángulos de disparo
78
5.4.1 Obtención de los tiempos ON-OFF de la señal PWM
80
5.4.2 Obtención de los ángulos de disparo de la señal PWM
83
5.4.3 Obtención de las tres señales PWM moduladas desfasadas
85
5.5 Obtención de otras ondas PWM modulada a partir de las calculadas
90
5.6 Formas de onda para frecuencias de 40,50 y 60Hz
92
5.6.1 Formas de onda para una Frecuencia de 40Hz
92
5
5.6.2 Formas de onda para una Frecuencia de 60Hz
93
5.6.3 Formas de onda para una Frecuencia de 50Hz
94
6. PRESUPUESTO
96
7. ANALISIS DE LEGALIDAD
98
8. PROTECCION E HIGIENE DEL TRABAJO
99
9. INFLUENCIA AMBIENTAL
100
CONCLUSIONES
101
RECOMENDACIONES
102
BIBLIOGRAFIA
103
ANEXOS
104
6
INTRODUCCION
Actualmente gran parte de los procesos utilizados en la industria moderna
funcionan bajo parámetros de velocidad y cargas variables lo que hace necesario
brindar flexibilidad a la hora del control del motor de C.A., ubicando entonces el
convertidor de frecuencia como el elemento principal en la optimización del uso del
motor ya que este permite una variación de parámetros de forma rápida, robusta y
fiable. Un control preciso de la velocidad logra una adecuada productividad, una
buena terminación del producto elaborado y garantiza la seguridad de personas y
bienes de la empresa y por ende de la economía social, también cabe mencionar
el ahorro energético y de mantenimiento que representa la instalación de estos
dispositivos.
El elevado estudio y desarrollo en las ultimas décadas de la electrónica de
potencia y los microprocesadores entre otros dispositivos de procesamiento digital,
han determinado cambios definitivos a la hora de implementar convertidores de
frecuencia, en la medida que estos introducen versatilidad y eficacia en etapa de
control y modulación.
El desarrollo de módulos de control basadas en técnicas de modulación PWM
optimizados para convertidores de frecuencia permiten adquirir destrezas en el
diseño y mantenimiento preventivo y correctivo de los mismos; incentivando su
estudio e implementación tanto en la academia como el sector industrial nacional.
7
Los sistemas de control de cargas trifásicas en procesos industriales, basados
principalmente en tecnologías de estado sólido capaces de proporcionar tensiones
y frecuencias variables, ha motivado la aparición de tecnologías con elevados
índices de eficiencia, que permiten realizar transferencias controladas de energía
desde una fuente, generalmente, alterna de frecuencia fija, o continua, hacia
procesos que la demandan de forma discreta.
8
PROBLEMA
En la actualidad la tecnología y los procesos a niveles industriales tienden a crecer
debido a las grandes demandas y la gran competencia en el mercado, para lograr
esto invierten mucho dinero automatizando procesos y haciendo estudios para
generar mayores utilidades, y en gran parte de estos procesos están involucrados
motores eléctricos que muchas veces no funcionan de forma optima generando
así: perdidas en la industria en cuestiones de reparación, alto consumo de energía
y también no aprovechando en su totalidad las capacidades técnicas y de
eficiencia que un motor de estos puede llegar a tener.
9
JUSTIFICACION
En la actualidad los estudios acerca de este tema son de poco conocimiento para
nuestra comunidad y para nuestro medio profesional y es necesario que e se
creen las bases, experiencia y estudios mas detallados acerca de este tema,
además de la importancia que han llegado a tener los sistemas de modulación
PWM en el campo industrial ya que la mayoría de los grandes procesos que
involucren movimiento y posicionamiento actúan con motores trifásicos y es de
gran importancia tener un buen control sobre ellos para así optimizar los procesos,
ahorrando dinero y generando así mayores utilidades.
10
OBJETIVO GENERAL
Diseño y simulación de un convertidor de frecuencia utilizando modulación PWM
por el método de eliminación de armónicos para el control de un motor trifásico.
OBJETIVOS ESPECIFICOS
•
Implementar un control digital acorde con las especificaciones matemáticas y
de control dado por el método de modulación PWM de eliminación de
armónicos para así generar las formas de onda deseadas.
•
Simular el sistema de modulación PWM por el método de eliminación de
armónicos.
•
Desarrollar el algoritmo que genere la señal PWM en el DSP 56F801.
11
1. MARCO TEORICO
1.1
DESARROLLO
TECNOLÓGICO
DE
LOS
CONVERTIDORES
DE
FRECUENCIA
Para hablar con propiedad del auge actual de los convertidores de frecuencia;
enmarcamos su historia con la influencia central de la electrónica de potencia que
mostró su primeros y mas radicales pasos con el desarrollo del tiristor o SCR en
los años cincuenta, utilizado ya en el control de velocidad de motores, otros
dispositivos de gran importancia en que surgieron para estas aplicaciones aunque
para bajas potencias son los TRIAC’s y los DIAC`s que son conmutadores
bidireccionales de varias capas. También cabe mencionar la revolución mas
grande de la electrónica la integración de varios transistores en un mismo
paquete, buscando siempre la miniaturización pero en realidad lo que nos interesa
anotar es la otra revolución, menos conocida, que se caracteriza por la tendencia
opuesta: Transistores de magnitud creciente, capaces de manejar mayores
potencias eléctricas. En el oscuro mundo de los semiconductores gigantes la
innovación fundamental llegó hace sólo unos años, y ahora es cuando comienza a
imponerse.
El desarrollo clave de la electrónica de potencia fue la invención de un nuevo tipo
de transistor, llamado IGBT (siglas en inglés de transistor bipolar de puerta
12
aislada). Se trata de dispositivos semiconductores del tamaño de un sello de
correos, capaces de agruparse para conmutar corrientes eléctricas de hasta 1000
ampère a tensiones de varios miles de volt. Y es de máxima importancia que los
IGBT puedan conmutar esas corrientes a velocidades extremadamente rápidas,
por lo que aventajan a sus predecesores en todos los terrenos.
La evolución de los semiconductores se ve claramente reflejada en el manejo que
estos empezaron a tener de mayores valores en sus parámetros de voltaje,
corriente, potencia y frecuencias de conmutación, generando en forma acelerada
el avance en los reguladores de velocidad.
No solo la electrónica de potencia determinó el desarrollo de los convertidores, la
electrónica digital con sus dispositivos de estado solidó en los años setenta con
los microcontroladores hizo su primer gran aporte; sin detenerse en esta etapa los
noventa vieron el nacimiento de un dispositivo que podía manejar grandes
frecuencias, y manejar amplios diapasones de control los DSP’S.
13
1.2 MOTOR C.A.
El motor es una máquina que convierte energía en movimiento o trabajo
mecánico. La energía se suministra en forma de combustible químico, como
gasóleo o gasolina, vapor de agua o electricidad, y el trabajo mecánico que
proporciona suele ser el Movimiento rotatorio de un árbol o eje; nuestro estudio se
limitara básicamente al Motor eléctrico.
Los motores eléctricos revisten su importancia en la medida en que tengamos en
cuenta que las fábricas dependen en gran parte de máquinas, equipos o robots
accionados por motores, y estimando que una familia media de un país
desarrollado utiliza más de 40 motores eléctricos, contando todo tipo de máquinas
del hogar, herramientas eléctricas, acondicionadores de aire y utensilios de aseo
sin dejar de mencionar los motores de trenes, tranvías y carros en general.
Los motores eléctricos se clasifican básicamente en dos tipos, los de corriente
directa y los de corriente alterna, siendo estos últimos los que corresponden a
nuestra investigación, de los cuales podemos decir que se diseñan dos tipos
básicos de motores de AC polifásica: los motores síncronos y los motores de
inducción, los cuales son los de mas importancia para el control industrial.
14
1.2.1 PARTES DEL MOTOR.
El sistema magnético de una máquina asíncrona consta de 2 núcleos: el núcleo
exterior fijo que tiene la forma de un cilindro hueco; el estator y el núcleo cilíndrico
interior giratorio; el rotor. Conjunto mostrado en la fig.1.1
Figura 1.1. Partes del motor
•
ESTATOR: En las ranuras ubicadas en el lado interior del estator se coloca un
arrollamiento trifásico, constituido por 3 arrollamientos iguales (uno por cada
fase) desplazados 120º entre sí (para un motor con un sólo par de polos); los
arrollamientos alojados en las ranuras son llamados respectivamente
“arrollamiento principal o de trabajo” y arrollamiento “auxiliar o de arranque.
15
•
ROTOR: Un rotor de tipo jaula de ardilla se compone de tres partes principales.
La primera de ellas es el núcleo, formado por un paquete de láminas ochapas
de hierro de elevada calidad magnética. La segunda es el eje, sobre el cual va
ajustada a presión el paquete de chapas. La tercera es el arrollamiento llamado
“jaula de ardilla” que consiste en una serie de barras de gran sección, alojadas
en sendas ranuras axiales practicadas en la periferia del núcleo y unidas en
cortocircuito mediante dos gruesos aros de cobre, situados en cada extremo
del núcleo.
1.2.2 PRINCIPIO DE FUNCIONAMIENTO DEL MOTOR DE INDUCCIÓN
En el instante en que se cierra el circuito del motor se activan los devanados de
arranque y de trabajo. Al conectar el estator a la red se origina un campo giratorio
que originará una Fem. inducida. Esta Fem. inducida, a su vez hace circular una
corriente en la espira en cortocircuito (rotor), y por la acción del campo magnético
creará cuplas distribuidas a lo largo de la espira haciendo que ésta trate de seguir
al campo giratorio.
Es obvio que la espira nunca podrá alcanzar al campo giratorio debido a la cupla
resistente, que está compuesta en primer término por las pérdidas por rozamiento
en los cojinetes, y en segundo término por la carga que mueve el eje del motor. Es
decir que siempre habrá una diferencia entre la velocidad “n s” del campo giratorio
y la del rotor “n” (o la del eje del motor).
Por lo tanto, llamaremos velocidad relativa a la diferencia entre ns y n, que para el
caso de motores será positiva.
16
Nres=Ns-N
Donde:
Ns= Velocidad sincrónica
N= Velocidad del rotor.
1.2.3 PARÁMETROS BÁSICOS DEL MOTOR DE INDUCCIÓN.
Estos parámetros son básicamente los que hacen parte del funcionamiento del
motor como son:
•
Velocidad Sincrónica (Velocidad de Rotación del Campo Magnético )
Ns=120F/n
Donde:
F = Frecuencia
n= Numero de polos
•
Deslizamiento del Rotor: La magnitud característica que determina
lascondiciones de trabajo de la máquina asíncrona es el resbalamiento,
definido en r.p.m como:
S= (ns-n) / ns
17
El resbalamiento es positivo cuando la máquina trabaja como motor y negativo
cuando ésta trabaja como generador.
•
Características de Par y Velocidad
Es la curva que muestra la dependencia entre el par desarrollado por un motor
eléctrico y su velocidad angular, en general, el comportamiento de esta curva
característica de los motores es distinto del de las cargas, pues los motores
eléctricos tienden a presentar un decrecimiento del par motor para velocidades
crecientes.
En esta curva buscamos el punto de operación optimo del motor según el proceso
en el cual será empleado; ya que al tener un arranque sin carga vemos que la
característica de par es mínima mientras la de velocidad se hace casi igual a la del
rotor, caso contrario cuando el arranque se da con carga se requerirá mayor par
con una notable disminución en el parámetro de velocidad. El comportamiento de
estos parámetros mostrados en la fig. 1.2.
18
Figura 1.2. Curva característica velocidad contra par
2. MODULACION PWM
2.1 Fundamentos de la Modulación por ancho de pulso (PWM)
Una vez elegido los dispositivos de potencia, y con ellos la frecuencia máxima de
conmutación, la cuestión fundamental y que fija de forma determinante los
indicadores de la etapa de potencia, va a ser la estrategia de control utilizada para
el encendido y apagado de estos dispositivos. Esta, en general, va a depender
además del tipo de dispositivo y la frecuencia de conmutación, de cuestiones
como el criterio de optimización (minimización de armónicos) y buena repuesta
dinámica. El modo de control más habitual de los dispositivos de potencia en el
inversor va a ser la modulación por ancho de pulso o simplemente PWM.
En la modulación PWM la frecuencia se controla con pulsos positivos durante
medio período y pulsos negativos durante el siguiente medio período. El circuito
19
de control establece los tiempos de activación y desactivación de los dispositivos
de potencia, que de acuerdo a la estrategia utilizada, puede ser en los puntos de
intersección entre una tensión sinusoidal denominada onda moduladora o de
referencia y una tensión triangular llamada onda portadora. La frecuencia de la
tensión sinusoidal debe ser igual a la frecuencia que se desea obtener del
convertidor de frecuencia y por tanto, se controla por medio de la señal
de
entrada del circuito de control. La relación entre la amplitud de la tensión
sinusoidal y la amplitud de la tensión triangular determina la anchura de los pulsos
de tensión que se aplican al motor y por ende el valor de voltaje.
Fig. 2.1. Modulación por ancho de pulso con portadora triangular
En la figura 2.1 tenemos un esquema típico de modulación PWM basado en una
portadora triangular. Los puntos de corte de la portadora con la señal de referencia
se determinarán los instantes de conmutación de los transistores que configurarán
cada rama. En la figura 2.2 podemos ver la simulación de un esquema de este
tipo para un inversor trifásico. En la parte superior se muestra la portadora y los
voltajes de referencia, en este caso sinusoidal.
20
Fig. 2.2. Modulación por ancho de pulso. Voltaje de referencia y señal
modulada (a); voltaje de fase (b); voltaje fase - neutro(c) voltaje del neutro (d)
Posteriormente, los voltajes de fase - neutro se determinan a partir de los puntos
de corte entre las referencias y la portadora. Si el neutro de la carga está aislado,
que es el caso más habitual, el voltaje del neutro, como se planteo anteriormente,
será:
v n0 =
1
(v a 0 + vb0 + vc0 )
3
Teniendo en cuenta que los valores en los voltajes de fase son v dc 2 y - v dc 2 ,
esto nos da unos valores posibles en el voltaje de neutro que serían
v n0 =
v dc v dc v dc v dc
, que por lo tanto, el valor instantáneo no va a valer
,
,−
,−
2
6
2
6
nunca cero. A partir de estos valores y de los voltajes de fase mostrada en la
figura 2.2 se deducen los voltajes fase - neutro.
21
Las formas de ondas no sinusoidales, obtenidas a la salida del inversor, se
analizan aplicando el desarrollo en series de Fourier. Cuando la onda es periódica,
se puede descomponer en la suma de una componente continua, una componente
fundamental sinusoidal de la misma frecuencia y una serie de armónicos, también
sinusoidales, cuya frecuencia es múltiplo de la frecuencia de la onda original.
Si existe simetría de semionda (semiciclos positivos y negativos iguales)
desaparece la componente continua y todos los armónicos pares. Si en las tres
salidas se generan las mismas formas de onda, desfasadas a 1200, desaparecen
todos los armónicos múltiplos de 3. Para la forma de onda de la figura el primer
armónico de importancia es el 5 (de secuencia inversa) seguido del 7, 11, etc.
Si todo esto se refiere a un motor de corriente alterna, es posible que algunos
armónicos contribuyan a que el rotor gire en el sentido correcto, otros crean un
campo en sentido contrario y la mayoría simplemente lo calientan disminuyendo la
eficiencia del sistema. También, debido a la reactancia del motor, los armónicos
de frecuencia muy elevada tienen poca influencia en la intensidad y basta eliminar
las frecuencias más bajas. A pesar del número reducido de frecuencias armónicas
bajas, se producen pérdidas suplementarias en el motor y esto se ilustra más
claramente por la recomendación de los fabricantes de los convertidores PWM de
procurar que los motores que están funcionando de manera continua no estén
sometidos a una carga superior al 85-95% del momento nominal.
Por ello surge la necesidad de reducir los armónicos en la de salida de inversor,
para mejorar sobre todo la eficiencia del sistema convertidor - motor, esto puede
22
lograrse aplicando modulación por ancho de pulso sinusoidal, donde se actúa
sobre los tiempos de conducción siguiendo una ley sinusoidal.
2.2. Métodos de Modulación por ancho de pulso
Desde las primeras técnicas de Modulación PWM registradas hasta nuestros días,
la literatura recoge varios métodos propuestos, los cuales serán mencionados a
continuación:
2.2.1. Modulación de pulso simple
Este método es la técnica de modulación básica: requiere solamente de dos
conmutaciones por ciclo, sin embargo, sólo se usa en aplicaciones limitadas, por
ejemplo, en alimentación de motores de inducción de media y baja potencia,
debido al gran contenido de armónicos que presenta la onda cuasi cuadrada, lo
cual provoca que la operación del motor de inducción sea ineficiente y tenga un
desempeño insatisfactorio a bajas velocidades. A pesar de esta limitación, esta
técnica ofrece menos pérdidas por conmutación que el resto de las técnicas.
2.2.2. Modulación de pulsos múltiples
Esta se caracteriza por el empleo de un tren de pulsos por semiperíodo. Todos los
pulsos tienen el mismo ancho. En este tipo de modulación, al aumentar el número
de pulsos o el ancho de los mismos, disminuye el contenido de armónicos relativo
23
de la onda a partir de un número de pulsos igual a 10, la reducción en el contenido
de armónicos relativo no es apreciable y la frecuencia en el inversor es muy alta.
Fig.2.3. Fundamentos de la Modulación por Ancho del Pulsos
2.2.3. Modulación sinusoidal
El contenido de armónicos en el voltaje de salida del convertidor puede ser
reducido considerablemente usando un conjunto de pulsos en cada semiperíodo.
Si esa modulación se realiza con una función sinusoidal, se obtiene una marcada
reducción en el contenido de armónicos de la salida. Esta modulación fue
propuesta originalmente por Schoung y Stemmler. La técnica de modulación por
ancho de pulso sinusoidal (SPWM), es la más comúnmente utilizada y existen
algunas variaciones de la misma, las cuales optimizan algún criterio en particular.
En este método, una señal portadora triangular, se compara con una onda
moduladora sinusoidal para determinar los instantes de conmutación. Esta técnica
24
de modulación puede ser implementada fácilmente utilizando circuitos analógicos,
sin embargo, su implementación en sistemas digitales o a microprocesadores no
resulta fácil, pues el ancho de los pulsos no se puede obtener a través de
funciones analíticas. Para la implementación en este tipo de sistemas, sin
embargo, varias técnicas como la de muestreo natural, regular y optimizado, así
como otros métodos, son usados para calcular el ancho de los pulsos. Además los
métodos de eliminación de armónicos han sido propuestos, específicamente para
sistemas digitales.
El método PWM senoidal, llamado también SPWM es muy popular en aplicaciones
Industriales y se menciona extensivamente en la literatura. En este tipo de
modulación, en lugar de mantener constante el ancho de todos los pulsos, como
sucede en otros esquemas PWM, el ancho de cada pulso varía en proporción con
la amplitud de una onda senoidal modulante que se compara con una onda
portadora triangular como se muestra en la fig. 2.4, los puntos de intersección
naturales entre ambas ondas determinan la señal modulada de salida
Fig. 2.4. Principio de la modulación senoidal con onda portadora triangular.
25
2.3. Razón de modulación (Carrier Ratio)
A la relación entre la frecuencia de la onda portadora y la frecuencia fundamental
se le denomina razón de la portadora o de modulación.
n=
f portadora
f referencia
Esta razón tiene gran importancia ya que, para disminuir el contenido de
armónicas, su valor debe ser alto pues esto permite obtener gran número de
pulsos por período de la onda fundamental. Por otra parte, esto aumenta la
frecuencia de apagado y encendido del elemento semiconductor (switching
frequency). Este valor está limitado por el tipo de semiconductor utilizado. Para los
tiristores esta frecuencia es baja pero para los Transistores Bipolares de Potencia,
MOSFET de Potencia, IGBT y MCT esta frecuencia permisible es de un valor
mucho más elevado constituyendo ésta una de las ventajas fundamentales de
estos dispositivos.
Las tensiones desiguales más próximas a la tensión triangular serán las de mayor
amplitud. Si se elige una relación de n = 6 entre la frecuencia triangular y la
frecuencia sinusoidal, la quinta y séptima armónica tendrán una amplitud
especialmente elevada. Si n = 15, las decimoterceras, decimoquintas, y
decimoséptimas armónicas van a ser particularmente importante.
Puesto que la reactancia de los devanados del motor aumenta cuando la
frecuencia sube, en razón de la autoinducción de los devanados, las frecuencias
armónicas elevadas son menos importantes que las armónicas bajas. Además,
puesto que todas las frecuencias armónicas que puedan ser divididas por tres
26
están equilibradas por el motor trifásico, la relación entre la frecuencia triangular y
la frecuencia sinusoidal debe ser elevada y divisible por tres.
Sin embargo, existen varios motivos para limitar la relación n:
a) Existe un límite con respecto a la velocidad a la cual los dispositivos de
potencia pueden ser activados o desactivados. Además, cada desactivación
forzada de un tiristor cuesta energía. Por lo tanto una relación elevada de n
(frecuentes desenergizaciones) corresponde a una reducción del rendimiento
del convertidor de frecuencia. Si los tiristores del inversor se sustituyen por
transistores es posible reducir las pérdidas por desenergización.
b) Una relación de n elevada corresponde a numerosos “agujeros” en la tensión,
la cual, por tanto, no puede tomar el valor máximo; sin embargo, este valor es
necesario cuando se desea obtener el par máximo a frecuencia nominal del
motor. Pero a bajas frecuencias es normal tener una relación elevada de n, y
obtener una tensión que corresponde a la frecuencia.
Todo esto explica por qué la relación de n disminuye cuando la frecuencia del
motor sube. Puesto que los cambios se producen por etapas sucesivas y pueden
ser oídos normalmente bajo la forma de “cambios de velocidad” por que la tensión
que se utiliza para aplicarla al motor produce un cierto ruido en el motor. Para
obtener la tensión máxima a frecuencias superiores a la frecuencia nominal del
motor, se utiliza una relación de n capaz de dar una tensión dotada del mismo
aspecto que se obtienen con los convertidores de frecuencia PAM.
La elevada relación de n a frecuencias bajas corresponde a un número de
frecuencia armónicas y, por tanto, a un funcionamiento suave del motor, incluso a
27
frecuencias muy bajas. Normalmente una portadora del orden KHz puede ser
suficiente. Pero hay que tener en cuenta los posibles problemas causados por las
vibraciones que puede producir el motor, las bobinas y los propios conductores.
Uno de estos problemas es el ruido, ya que el oído humano es muy sensible a
sonidos en torno a 2 ó 3 KHz. Las frecuencias de 16 KHz o superiores son
prácticamente inaudibles, pero es posible que produzcan demasiadas pérdidas de
conmutación. En algunos inversores la frecuencia de la portadora es fija, en otros
varía continuamente o se modifica en función de la modulación y en algunos el
usuario puede seleccionarla de acuerdo con sus necesidades y las posibles
resonancias mecánicas.
2.4. Modulación asincrónica
En la figura 2.2 la frecuencia de conmutación era constante mientras que la
frecuencia de la señal de referencia podía ser variable, por lo que la relación de
frecuencia entre ambas va a ser variable. Es lo que se denomina modulación
asincrónica. La secuencia de disparo de los interruptores obtenida en este caso va
a ser en general no periódica y su espectro en frecuencia va a contener armónicos
por debajo de la frecuencia de conmutación. Estos efectos van a tener mayor
influencia a medida que disminuye la relación entre la frecuencia de la portadora y
la referencia, lo que va ocurrir en equipos de mayor potencias, donde la frecuencia
de modulación es pequeña; a medida que aumenta la frecuencia de conmutación,
va a disminuir la importancia de este efecto.
28
Existen dos métodos de control de la razón de la portadora: el control asincrónico
que mantiene un valor constante de fc en todo el diapasón de regulación de
frecuencia. Esto implica que Rc aumenta continuamente según f1 se reduce.
Este método es muy fácil de realizar utilizando circuitos analógicos pero puede dar
lugar a la aparición de las denominadas subarmónicas, o sea, armónicas inferiores
a la fundamental las cuales no son atenuadas ya que el motor puede considerarse
un filtro pasa bajo.
El otro método es el control sincrónico que se basa en utilizar dos o más zonas de
trabajo en cada una de las cuales se mantiene constante Rc. Este método
requiere que Rc cambie de forma discreta en diferentes puntos del diapasón de
regulación de frecuencia. Para la más fácil realización de éste método se
recomienda que Rc sea múltiplo de 6 para inversores trifásico, o sea, de la forma:
Rc = 6n
Por ejemplo, supóngase que la frecuencia máxima de operación de un inversor es
de 6 KHz y que la frecuencia debe variarse de 100 Hz a 5 Hz. Es conveniente
entonces dividir la operación del inversor en tres zonas como se muestra en la
tabla 1 siguiente:
29
Tabla 1 Zonas de operación del inversor
Zona
Diapasón de Frec.
Rc
fcmax
I
100-60 Hz
60
6 kHz
II
60-30 Hz
96
6 kHz
III
< 30 Hz
192
6 kHz
Debe señalarse que, con este método de control al llevarse a cabo la transición de
una zona a la otra pueden ocurrir violentos transitorios de interrupción en la carga.
Para disminuir este fenómeno se acostumbra aplicar cierta histéresis al control, o
sea, por ejemplo, al pasar de la zona I a la zona II la transición se puede llevar a
cabo a los 62 Hz pero al regresar de la II a la I se hace a 58 Hz.
2.5. Modulación sincrónica
En el caso de bajas frecuencias en la modulación puede ser interesante
sincronizar la portadora y la referencia, lo que va a dar lugar a esquemas de
modulación a frecuencia variable, denominados como modulación sincrónica. Esta
solución puede presentar problemas durante los transitorios donde la señal de
referencia, y por tanto su frecuencia, es cambiante.
2.6. Índice de modulación (Modulation Depth)
Para variar el voltaje se varía la relación entre el valor máximo de la onda
moduladora y el de la portadora. Esta relación se denomina índice de modulación
o profundidad de modulación y se define como:
30
m=
v referencia ( max )
v portadora ( max )
2.7. Modulación por ancho de pulso natural
La mayoría de los inversores PWM analógicos implementados utilizan esquemas
de control que emplean técnicas de muestreo natural. Una realización práctica,
mostrando los rasgos generales de ese modo de muestreo, es ilustrada en la
figura 1.5. Esta técnica de modulación se denomina PWM de muestreo natural, o
sencillamente PWM natural o triangular. En la figura se puede apreciar que una
onda portadora triangular es comparada directamente con una onda sinusoidal
moduladora, para determinar el instante de conmutación, y por consiguiente, el
ancho del pulso resultante.
Es importante señalar que, debido a que los bordes de conmutación de la
modulación por ancho de pulso, son determinados por la intercepción instantánea
de las dos ondas, el ancho de pulso resultante es proporcional a la amplitud de la
onda moduladora en el instante en que ocurre la conmutación. Esto tiene dos
consecuencias importantes: la primera es que los centros de los pulsos de la
onda, en la modulación por ancho de pulso resultante, no están equidistantes o
uniformemente espaciados y la segunda es, que no es posible definir el ancho de
los pulsos utilizando expresiones analíticas.
De hecho, es útil mostrar que el ancho de pulso sólo puede ser definido utilizando
una ecuación trascendental de la forma:
tp =
T ⎡ Vm
(sen ω t1 + sen ω t 2 )⎤⎥
1+
⎢
2⎣
2
⎦
31
Donde:
tp - Ancho del pulso.
T - Período de la señal moduladora.
Vm - Amplitud de la señal moduladora.
t1 - Inicio del pulso modulado.
t2 - Fin del pulso modulado.
Debido a esta relación trascendental existente entre los tiempos de conmutación,
no es posible calcular directamente el ancho de los pulsos modulados. Por lo
tanto, el ancho de los pulsos sólo puede ser definido en términos de una serie de
funciones Bessel.
Para construir un modelo computacional de la modulación natural se requiere del
proceso ilustrado en la figura 2.5, el cual puede ser simulado directamente en la
computadora y el instante de conmutación de la PWM es determinado usando
técnicas numéricas, como los métodos de Gauss - Seidel o Newton - Raphson.
Por ello, dichas ecuaciones no pueden ser utilizadas directamente, excepto en
sistemas a microprocesadores con gran capacidad para el cálculo aritmético. Otra
solución es utilizar una computadora para el cálculo de los instantes de
conmutación y luego grabarlo en una memoria de sólo lectura para su posterior
uso, pero esto requiere de un gran espacio de memoria para guardar los anchos
de pulso para un amplio rango de voltaje y frecuencia de la salida.
Como se ilustra en la figura 2.5, la forma de onda del PWM cambia entre dos
niveles de voltaje (+1 y -1) y por consiguiente, es usualmente denominado PWM
de dos niveles. Esta forma de onda es típica de la línea inversora a CD enlazada
con el voltaje de la derivación o tap central, y como muestra incluye el portador de
armónicos de frecuencia.
32
Fig. 2.5. PWM natural de dos niveles Fig. 2.6. PWM natural de tres niveles
a - Onda moduladora.
a –Onda moduladora.
b - Onda portadora.
b - Onda portadora.
c – Voltaje PWM.
c - PWM de dos niveles.
d - Armónico fundamental del voltaje PWM. d - Polaridad del circuito de control.
e - Voltaje del PWM de tres niveles.
f - Armónico fundamental PWM.
También es posible generar un tercer nivel de la forma de onda de la modulación
por ancho de pulso por conmutaciones entre (+1 y -1) como se muestra en la
figura 2.6. Esta forma de modulación por ancho de pulso de tres niveles es típica
de la forma de onda del voltaje de línea en inversores monofásicos y trifásicos, no
incluye el portador de armónicos de frecuencia. La forma de onda de tres niveles
33
puede ser generada por la combinación de las formas de onda de PWM de dos
niveles de dos fases adecuadamente o generadas directamente como se muestra
en la figura 1.6. Como se puede apreciar en la misma, el pulso cambia de
polaridad cada medio ciclo, y por consiguiente, el ancho de pulso en este medio
ciclo requiere, para ser modulado, del semiciclo positivo de una onda moduladora.
La onda no sinusoidal en la figura 1.6 representa la función de disparos lógicos o
secuencia de disparos, la cual es necesaria aplicar correctamente para la
conmutación de los dispositivos de potencia en el circuito inversor.
Una vez obtenidos los modelos computarizados de dos y tres niveles de la PWM
natural, entonces, estos pueden ser utilizados como bloque básico para construir
una amplia variedad de sistemas inversores monofásicos y polifásicos con PWM
natural.
2.8. Modulación senoidal con muestreo natural
En la modulación senoidal con muestreo natural una señal modulante senoidal vm
(wt) = Vm sen(wt) se aplica a una señal portadora triangular vc(wt) de amplitud
máxima vc y desplazada por una componente de CD como se muestra en la Fig
2.7. Los puntos de intersección natural de vm (wt) y vc(wt) determinan el tiempo
de encendido y la duración de los pulsos modulados, así, el patrón de pulsos se
describe debido al muestreo natural. En la Fig. 2.7 la amplitud V de la señal de
salida PWM vo(wt) está determinada por el voltaje de CD de alimentación (no por
el patrón de conmutación) si el esquema se aplica a un inversor.
34
En las formas de onda PWM el patrón de pulsos depende de la relación del pico
de voltaje modulante Vm al pico de voltaje de la onda portadora Vc , ésta relación
a menudo es llamada índice de modulación o relación de modulación, M.
M = Vm / Vc
Variando el valor del voltaje pico Vm y manteniendo Vc constante se controla el
índice de modulación, y por consiguiente la señal de salida modulada. El rango
usual de M es 0 ≤ M ≤ 1 .
Fig. 2.7. Forma de onda PWM que se obtiene utilizando SPWM con muestreo
natural, p = 12, M = 0.75.
Una propiedad básica de las formas de onda PWM es la relación entre las
frecuencias de la onda portadora y modulante, llamada relación de modulación de
frecuencia, p.
P = fc / fm
Donde:
35
fc es la frecuencia de la onda portadora.
fm es la frecuencia de la onda modulante.
Si hay un número entero de ciclos de la onda portadora en cada ciclo de la onda
modulante (p entero) la modulación es síncrona, y en este caso se dice que
ambas señales están sincronizadas, de otra manera la modulación es asíncrona, y
la señal portadora corre libre con respecto a la señal modulante. Si p es un entero
impar entonces la forma de onda modulada tiene simetría de media onda (los
medios ciclos positivos y negativos son simétricos) y no contiene armónicos de
orden par.
Con un valor grande de p los armónicos dominantes de la forma de onda PWM
son también grandes y están agrupados alrededor de la frecuencia portadora y
sus bandas laterales.
En operación trifásica la onda portadora triangular usualmente es simétrica, sin
componente de CD. En este esquema se tienen tres ondas senusoidales
modulantes, cada una de ellas desplazada 120° y se puede utilizar una portadora
común para las tres fases. Esto se muestra en la Fig. 2.8, así como las formas de
onda que se obtienen para un inversor trifásico, en esta, la relación de frecuencia
p = 9 y la relación de modulación M es casi la unidad. Para obtener a la salida una
onda de frecuencia y voltaje variables, se pueden variar la frecuencia y la amplitud
de la onda modulante. Para una operación trifásica balanceada p debe ser un
múltiplo impar de 3. La frecuencia portadora es entonces un múltiplo de 3 de la
frecuencia modulante, así, la forma de onda modulada de salida no contiene a la
frecuencia portadora o sus armónicos.
36
Fig. 2.8. Formas de onda de voltaje para un inversor trifásico SPWM.
En general, los armónicos k de la forma de onda modulada están dados por
k = np k ± m
Donde:
n es el orden armónico de la portadora.
m es la banda lateral de la portadora.
n ; coeficiente de fourier.
El número de pulsos por ½ ciclo se determina a partir de P = Fc/2Fs
3. DISPOSITIVOS MOSFET
La estructura física del Mosfet de acumulación canal n puede verse en la siguiente
figura:
37
Fig.3.1 Mosfet de acumulación canal n.
Los terminales son drenaje (D), compuerta (G), fuente (S) y sustrato (B). En
funcionamiento normal por el terminal sustrato para una corriente despreciable.
Para nuestro estudio supondremos que el sustrato se encuentra conectado a una
fuente por lo que tendremos un dispositivo de tres terminales. La compuerta se
halla aislada del sustrato por una fina capa de oxido (dióxido de silicio Si2O) y por
este terminal fluye una corriente despreciable. Cuando se aplica a la compuerta
una tensión positiva en relación a la fuente, los electrones se ven atraídos a la
región ubicada bajo la compuerta, induciéndose una tensión drenaje-fuente.
Entonces si se aplica una tensión entre drenaje-fuente fluirá una corriente que sale
del terminal fuente a través del canal hasta el terminal drenaje. La corriente del
drenaje estará controlada por la tensión que se aplica a la compuerta.
38
La longitud L y la anchura W del canal se muestran en la figura 3.1, la longitud
suele estar en el rango [0.2-10] mm y la anchura oscila entre [0.5-500] mm. La
capa de óxido tiene un espesor entre [0.05-0.1] mm.
Las características del dispositivo dependen de L, W y de parámetros de
fabricación tales como el nivel de dopaje y la anchura del óxido. El símbolo del
mosfet de acumulación canal n se muestra en la figura 3.2.
Fig.3.2. Símbolo esquemático de un Mosfet de acumulación canal n.
3.1 Funcionamiento en la región de corte
Consideremos la situación mostrada en la figura 3.3. Supongamos que se aplica al
drenaje una tensón positiva con respecto a la fuente y comenzamos con VGS=0.
Observe que en las interfaces drenaje-sustrato y fuente-sustrato aparecen uniones
39
pn. No fluye virtualmente corriente hacia el drenaje ya que la unión drenajesustrato está polarizada en inversa por el generador VDS. A esto se le llama región
de corte. A medida que aumenta VGS el dispositivo permanece en corte hasta que
VGS alcanza un valor suficiente llamado tensión umbral Vto.
iD=0 para VGS<=Vto
Fig.3.3. Para VGS<Vto la unión pn entre drenaje y sustrato está polarizada en
inversa e id=0
3.2 Funcionamiento en la región óhmica
Ahora consideremos la situación que se muestra en la figura 3.4, en la que VGS es
mayor que la tensión umbral. El campo eléctrico que resulta de la tensión aplicada
a la compuerta ha repelido a los huecos de la región situada bajo la compuerta y
40
ha atraído electrones que pueden fluir con facilidad en la dirección de polarización
directa a través de la unión fuente-sustrato. Esta repulsión y atracción simultáneas
producen un canal tipo n entre drenaje-fuente. Entonces al aumentar VDS, la
corriente fluye hacia el drenaje a través del canal y de la fuente. Para pequeños
valores de VDS la corriente es proporcional a esta y la corriente de drenaje es
proporcional al exceso de tensión de la compuerta VGS-Vto.
Fig.3.4. Funcionamiento en la región óhmica
Para vGS >Vto, se introduce un canal de material de tipo n en la región que está
bajo la compuerta. A medida que aumenta vGS, el canal se hace más grueso. Para
valores pequeños de vGS, iD es proporcional a vDS. El dispositivo se comporta
como una resistencia cuyo valor depende de vGS.
41
Ahora ¿qué sucede si VDS continúa aumentando? A causa del flujo de corriente,
la tensión entre los puntos del canal y la fuente se hace mayor a medida que nos
desplazamos hacia el drenaje. La tensión entre la compuerta y el canal disminuye,
con lo que resulta un afilamiento de la anchura del canal, como se muestra en la
figura 3.1. A causa de ese afilamiento del canal, su resistencia se hace mayor al
aumentar VDS, dando como resultado una menor tasa de crecimiento de iD. Para
VDS<vgs-vto y vgs>=vto decimos que el dispositivo trabaja en la región óhmica
corriente de drenaje está dada por:
iD = k * [2 * (VGS - VTO) * VDS - VDS2]
Donde:
K=
W KP
L 2
[mA V ]
2
KP = mn ⋅ Cox
(mn: movilidad superficial de los electrones, Cox: capacitancia de la compuerta
por unidad de área)
42
Fig.3.1. A medida que aumenta vDS, el canal se estrecha en el extremo del
drenador, e iD se incrementa con más lentitud. Por último, para vDS> vGS -Vto,
iD permanece constante
3.3. Funcionamiento en la región de saturación
A medida que aumenta la tensión VDS, la tensión compuerta drenaje disminuye,
cuando VGD iguala al valor umbral Vto, la anchura del canal en el extremo del
drenaje se hace cero. Para posteriores aumentos de VDS, iD es constante, tal como
se muestra en la figura 3.1.. A esto se le llama región de saturación, en la que
tenemos VGS >= Vto y VDS >= VGS - Vto y la corriente viene dada por: iD = K * (VGS
- Vto)2 .
43
3.4 IGBT (Insulated Gate Bipolar Transistor)
El IGBT es un dispositivo semiconductor de potencia híbrido que combina los
atributos del BJT y del MOSFET. Posee una compuerta tipo MOSFET y por
consiguiente tiene una alta impedancia de entrada. El gate maneja voltaje como el
MOSFET. El símbolo más comúnmente usado se muestra en la figura #. Al igual
que el MOSFET de potencia, el IGBT no exhibe el fenómeno de ruptura
secundario como el BJT.
La estructura del IGBT es similar al un MOSFET de canal n, una porción de la
estructura es la combinación de regiones n+ , p y n- que forman el MOSFET entre
el source S y el gate G con la región de flujo n- que es el drain D del MOSFET.
Otra parte es la combinación de 3 capas p+ n- p, que crea un transistor de unión
bipolar entre el drain D y el source. La región p actúa como colector C, la región nactúa como la base B y la región p+ actúa como el emisor E de un transistor pnp.
Entre el drain y el source existen 4 capas p+n-pn+ que forman un tiristor. Este
tiristor es parásito y su efecto es minimizado por el fabricante del IGBT.
44
Consideremos que el IGBT se encuentra bloqueado inicialmente. Esto significa
que no existe ningún voltaje aplicado al gate. Si un voltaje VGS es aplicado al
gate, el IGBT enciende inmediatamente, la corriente ID es conducida y el voltaje
VDS se va desde el valor de bloqueo hasta cero. LA corriente ID persiste para el
tiempo tON en el que la señal en el gate es aplicada. Para encender el IGBT, la
terminal drain D debe ser polarizada positivamente con respecto a la terminal S.
LA señal de encendido es un voltaje positivo VG que es aplicado al gate G. Este
voltaje, si es aplicado como un pulso de magnitud aproximada de 15, puede
causar que el tiempo de encendido sea menor a 1 μs, después de lo cual la
corriente de drain iD es igual a la corriente de carga IL (asumida como constante).
Una vez encendido, el dispositivo se mantiene así por una señal de voltaje en el
gate. Sin embargo, en virtud del control de voltaje la disipación de potencia en el
gate es muy baja.
45
EL IGBT se apaga simplemente removiendo la señal de voltaje VG de la terminal
gate. La transición del estado de conducción al estado de bloqueo puede tomar
apenas 2 μs, por lo que la frecuencia de conmutación puede estar en el rango de
los 50 kHz.
EL IGBT requiere un valor límite VGS (TH) para el estado de cambio de encendido
a apagado y viceversa. Este es usualmente de 4 V. Arriba de este valor el voltaje
VDS cae a un valor bajo cercano a los 2 V. Como el voltaje de estado de
encendido se mantiene bajo, el gate debe tener un voltaje arriba de 15 V, y la
corriente iD se autolimita.
El IGBT se aplica en controles de motores eléctricos tanto de corriente directa
como de corriente alterna, manejados a niveles de potencia que exceden los 50
kW.
46
3.5 Inversor de onda cuadrada
El inversor va a ser el encargado de generar, a partir del voltaje suministrado por
el rectificador, voltajes en general sinusoidales de amplitud y frecuencia variable.
Evidentemente, en equipos de potencia, no es posible la utilización de
semiconductores en zona de potencia lineal, sino que habrá de trabajar como
interruptores. A la hora de diseñar el inversor se plantean dos problemas, aunque
con una conexión evidente: la elección de la etapa de potencia y la estrategia de
control.
Los convertidores indirectos un inversor en puente trifásico tienen una estructura
similar al de la figura 3.4.1. En general, está formado por seis dispositivos
electrónicos de potencia y otros componentes. Las tres líneas que alimentan el
motor se conectan secuencialmente al extremo positivo o negativo del circuito
intermedio. En el cual el voltaje de CD se asume con una derivación central por
conveniencia para la síntesis de las formas de ondas.
47
Fig. 3.4.1. Inversor a tiristores
Se puede conectar en cada instante un interruptor de la parte superior (el 1, el 3 o
el 5), y otro de la inferior (el 2, el 4 o el 6), de manera que siempre queda un borne
del motor sin conectar. En este caso cada interruptor solo conduce durante 1/3 del
tiempo total, pero así se facilita la conmutación.
Otra forma de accionar los interruptores es conectar las tres salidas al positivo o al
negativo, alternativamente, con un desfasaje de 1200. En estas condiciones el
voltaje de cada borne del motor respecto a un punto del circuito intermedio es una
onda cuadrada, pero si se analiza lo que sucede entre fase y el neutro (que se
define por el promedio del voltaje en las tres salidas) se comprueba que sigue una
ley de variación rectangular algo más parecida a una sinusoide.
48
Fig. 3.4.2. Formas de onda de los voltajes del inversor
Los voltajes de cada fase con respecto al punto central de CD pueden describirse
por series de Fourier:
va0 =
4V ⎡
1
1
⎤
cos w1t − cos 3w1t − cos 5w1t + K⎥
⎢
3
5
π ⎣
⎦
vb0 =
4V ⎡
1
1
⎤
cos w1t − 120 0 − cos 3 w1t − 120 0 − cos 5 w1t − 120 0 + K⎥
⎢
π ⎣
3
5
⎦
v c0 =
4V ⎡
1
1
⎤
cos w1t + 120 0 − cos 3 w1t + 120 0 − cos 5 w1t + 120 0 + K⎥
⎢
π ⎣
3
5
⎦
(
)
(
)
(
)
(
)
(
)
(
)
Donde V = 0.5 v cd .
49
Por lo que los voltajes de líneas se pueden obtener según:
v ab = v a 0 − v b0
vbc = vb0 − v c 0
v ca = v c 0 − v a 0
Combinando estas ecuaciones se obtienen los voltajes de líneas, los cuales serán:
(
)
(
)
(
)
(
)
(
)
(
)
v a0 = 3
4V ⎡
1
1
⎤
cos w1t − 30 0 − 0 − cos 5 w1t − 30 0 − cos 7 w1t − 30 0 + K⎥
⎢
π ⎣
5
7
⎦
vb0 = 3
4V ⎡
1
1
⎤
cos w1t − 90 0 − 0 − cos 5 w1t − 90 0 − cos 7 w1t − 90 0 + K⎥
⎢
π ⎣
5
7
⎦
v c0 = 3
4V ⎡
1
1
⎤
cos w1t + 150 0 − 0 − cos 5 w1t + 150 0 − cos 7 w1t + 150 0 + K⎥
⎢
π ⎣
5
7
⎦
(
)
(
)
(
)
Para el caso de la figura 3.4.2, en el cual el motor esta conectado en estrella sin
neutro, se puede obtener:
v a 0 = v an + v n 0
vb 0 = v bn + v n0
v c 0 = v cn + v n0
Como se considera que la fuente de alimentación es balanceada, entonces
v an + vbn + v cn = 0 , lo que sumando las ecuaciones de arriba resulta en:
v n0 =
1
(v a 0 + vb0 + v c0 )
3
Sustituyendo se obtiene:
v a 0 = v a 0 − v n0 =
1
(2 v a0 − vb0 − v c0 )
3
50
vb0 = vb0 − v n0 =
1
(2 vb0 − v a 0 − v c0 )
3
v c0 = v c0 − v n0 =
1
(2 v c0 − vb0 − vb0 )
3
El voltaje de fase se puede obtener gráficamente como se muestra en la figura
3.4.2 (g) o descrito por la serie de Fourier respectiva como se realizó. Como se
puede observar en dicha figura la forma de onda es escalonada en 6 pasos (sixstep), pero su fase esta desfasada 300 del voltaje de línea.
Para una carga trifásica balanceada y lineal, como es el motor de inducción, los
componentes individuales de la corriente de línea pueden ser calculados para
cada componente de la serie de Fourier de voltaje y entonces el resultado puede
obtenerse del principio de superposición. Una onda típica de corriente con carga
inductiva se muestra en la forma de onda v an de la figura 3.4.2 (g).
También se observará que sólo existen seis posibles combinaciones de los
voltajes de salida, lo que suele representarse como seis vectores situadas a 600 y
contrasta con los infinitos valores que puede alcanzar un voltaje sinusoidal.
Existe otra posibilidad que se combina con la anterior: Esta es conectar las tres
salidas al positivo o al negativo simultáneamente para que el voltaje entre ellas
sea cero. Esto permite variar la intensidad de la salida de forma continua, aunque
el voltaje de entrada sea constante. La estrategia consiste en anular el voltaje a
una frecuencia relativamente alta (llamada portadora) y variar el valor medio por la
relación entre los tiempos de conducción. Como la intensidad no puede cambiar
51
de forma instantánea, gracias a la reactancia de dispersión del motor y otras
bobinas que pueden añadirse en serie, sigue una ley de variación más suave que
se adapta a dicho valor medio. En general, está técnica se denomina modulación
por ancho de pulso (PWM) y se utiliza principalmente con transistores.
4. PROCESADOR DIGITAL DE SEÑALES DSP
Este dispositivo es el elemento principal de nuestra implementación. Cuando
hablamos de microprocesadores, microcontroladores y DSP’s nos referimos en si
a una misma familia la de los procesadores digitales de señales ya que en la
actualidad, debido a la reducción de coste y a la elevada potencia de calculo, las
diferencias entre ellos son casi inexistentes Las características principales de los
DSP’s:
Funcionalidad:
los
microprocesadores
actuales
se
clasifican
según
su
arquitectura de programación en:
RISC, que manejan un conjunto reducido de instrucciones, con rápido tiempo de
ejecución.
CISC, con muchas instrucciones, de las cuales algunas necesitan muchos ciclos
para ejecutarse.
SISC, cuenta con un juego específico de instrucciones para aplicaciones
concretas.
Los DSP’s más utilizados incluyendo el de este proyecto son de arquitectura
RISC.
52
Arquitectura: otra característica importante para los microprocesadores es su
clasificación según la estructura interna de estos, que pude ser:
Von Neuman: la memoria de programa y la memoria de datos utilizan los mismos
buses para el manejo de direcciones, datos y control.
Harvard: la memoria de programa y la memoria de datos utilizan buses de
direcciones, de datos y de control independientes. Esto permite leer instrucciones
a la vez que se ejecutan unas ya leídas.
En cuanto a arquitectura interna la mayoría de los DSP’S son de tipo Harvard, en
muchos casos mejorada súper segmentada para acelerar la ejecución de
programas.
4.1 Zona De Manejo De Datos: la estructura interna es orientada a registros,
disminuyendo el número de transferencias entre el acumulador y la zona de
manejo de datos. Poseen varios operadores aritméticos independientes.
Figura 4.1 Estructura General De Un DSP.
53
5. DESCRIPCION DEL SISTEMA
5.1 Método de la eliminación de armónicos
Con la utilización de este método, pueden ser eliminados los armónicos
indeseables de la onda rectangular y puede ser controlada la componente
fundamental de voltaje. En este método son creados fragmentos en la onda
cuadrada a ángulos predeterminados, tal como se muestra en la figura 2.12. En
esta figura se muestra un semiciclo de la salida con simetría de cuarto de onda.
Se puede observar que los ángulos alfa1, alfa2, alfa3 y alfa4 pueden ser
controlados para eliminar tres componentes de armónicos y el control de voltaje
fundamental. Si en la forma de onda se acomodan ángulos adicionales, entonces
pueden ser eliminados un número mayor de componentes de armónicos.
Fig. 2.12 Onda de voltaje en el método de eliminación de armónicos.
La serie general de Fourier de esta onda puede ser expresada de la siguiente
forma:
54
∞
v(t ) = ∑ (a n cos nwt + bn sennwt )
n =1
2.27
Donde:
an =
1
π
2π
∫ v(t ) cos nwtdwt
0
2.2
bn =
1
π
2π
∫ v(t )sennwtdwt 8
0
2.29
Para la onda con cuarto de onda de simetría por ciclo, existen solamente los
armónicos impares con componentes sinusoidales. De esta forma, los coeficientes
se expresan de la siguiente forma:
an = 0
2.30
π
bn =
4
π
2
∫ v(t )sennwtdwt
0
2.31
Asumiendo que la onda tiene una amplitud unitaria, es decir, v(t)=1 o –1, Bn puede
ser extendido como:
55
bn =
4
π
α1
α2
α3
0
α1
α2
∫ (+1)sennwtdwt + ∫ (−1)sennwtdwt + ∫ (+1)sennwtdwt +......
π
αk
+
2
∫ (−1)sennwtdwt + ∫ (+1)sennwtdwt
1 α k −1
αk
2.32
Utilizando la relación 2.33, el primer y último término quedaría como se muestra en
las ecuaciones 2.34 y 2.35:
Θ2
1
∫ sennwtdwt = n (cos nΘ
1
− cos nΘ 2 )
Θ1
2.33
α1
1
∫ sennwtdwt = n (1 − cos nα )
1
0
2.34
π
2
∫
α
(+1) sennwtdwt =
K
1
(cos nα K )
n
2.35
Integrando las otras componentes de la ecuación 2.32 y sustituyendo las
ecuaciones 2.33 y 2.34 en las mismas se obtiene:
bn =
k
4
[1 + 2(− cos nα 1 + cos nα 2 − ........ + cos nα k )] = 4 ⎛⎜1 + 2∑ (−1) k cos nα k ⎞⎟
nπ
nπ ⎝
k =1
⎠
2.36
56
Se puede observar que la ecuación anterior contiene K variables (o sea, alfa1,
alfa2, alfa3, alfa4………alkak) y se requiere por tanto de K ecuaciones
simultaneas para encontrar estos valores. Con K ángulos, la onda fundamental
del voltaje puede ser controlada y pueden ser eliminados K-1 armónicos.
Ejemplo:
Consideremos que el quinto y séptimo armónicos van a ser eliminados y que la
componente fundamental del voltaje es controlada. El tercero y otros armónicos
múltiplos de tres pueden ser ignorados si el motor esta conectado en estrella con
neutro aislado. En este caso K=3 y de la expresión 2.36 se obtienen las siguientes
ecuaciones:
b1 =
4
π
(1 − 2 cos α 1 + 2 cos α 2 − 2 cos α 3 )
2.37
b5 =
4
(1 − 2 cos 5α 1 + 2 cos 5α 2 − 2 cos 5α 3 ) = 0
5π
2.38
b7 =
4
(1 − 2 cos 7α 1 + 2 cos 7α 2 − 2 cos 7α 3 ) = 0
7π
2.39
Las ecuaciones trascendentales no lineales, descritas anteriormente, pueden ser
resueltas numéricamente para una amplitud especifica y pueden ser determinados
los ángulos alfa1, alfa2 y alfa3. Los ángulos alfa obtenidos para diferentes voltajes
de salida se muestran en la figura 2.13. También en esta figura se muestran los
57
armónicos de menor orden, sin embargo el efecto de los mismos va a ser muy
pequeño, debido a su gran separación del voltaje fundamental.
Fig. 2.13. Relación de los ángulos con el voltaje fundamental de salida para
la eliminación de del quinto y séptimo armónicos.
En la figura anterior se puede apreciar como el 5 y 7 armónico pueden ser
eliminados por debajo del 93.34% del nivel de voltaje (el 100% corresponde a una
onda rectangular) donde alfa1=0En la tabla 2.2 se ilustra un segmento de estos ángulos para un salto de voltaje de
un 1%.
Tabla 2.2: Ángulos alfa para Vs desde 93% hasta 100%
58
Vs
93
94
95
96
97
98
99
100*
Alfa1
0
0
0
0
0
0
0
0
Alfa2
15.94
16.17
16.41
16.88
17.34
11.02
4.69
0
Alfa3
22.03
21.56
20.86
20.39
19.92
13.59
7.27
0
* Onda rectangular
El método de eliminación de armónicos puede ser convenientemente realizado a
microprocesadores o microcontroladores, utilizando una tabla de referencia de los
ángulos calculados previamente. Para un determinado voltaje, los ángulos son
calculados y ubicados en la tabla de referencia y el ancho del pulso
correspondiente se genera en el dominio del tiempo con ayuda de contadores
(down-counters).
Figura 2.14 Espectro de la señal
59
La figura 2.14 muestra la salida de un analizador de espectro de un modulador a
microcontroladores con Vs=50% y fs=100Hz. Los ángulos alfa son almacenados
con dos lugares decimales después de la coma.
Como la frecuencia fundamental decrece, puede ser aumentado el número de
ángulos y de esta forma eliminar mayor cantidad de armónicos. El número de
ángulos por semiciclo o el número de conmutaciones por segundo depende de las
perdidas por conmutación en el inversor. Debe tenerse en cuenta que en un
numero muy grande ángulos a baja frecuencia requiere una tabla de referencia de
ángulos demasiado extensa que dificultaría la utilización del método. Por esta
razón se utilizan esquemas híbridos donde se realiza PWM sinusoidal a baja
frecuencia y bajo nivel de voltaje y al alta frecuencia y alto nivel de voltaje se utiliza
el método de eliminación armónicos indeseables ocurren en la transición hacia el
modo PWM sinusoidal y son reducidas considerablemente.
El método de eliminación de armónicos puede ser extendido a una región de
potencia constante, donde el control del voltaje debe ser desarrollado en sistemas
de accionamiento de gran exactitud.
5.2 Descripción de las simulaciones
Las simulaciones del sistema fueron realizadas en la versión Demo de Psim 6.1 el
cual es un simulador exclusivo para este tipo de aplicaciones. A continuación
veremos paso a paso el desarrollo de las simulaciones hasta llegar a la tabla de
tiempos y de ángulos de disparo la cual finalmente será la que se introducirá en el
DSP 56F801 de Motorola.
60
A continuación observaremos la salida y esquema de una onda sinusoidal de 60
Hz obtenida.
Figura 4.1 Esquema para visualizar una onda seno (Psim 6.1)
Figura 4.2 Onda seno
Como sabemos para que un motor trifásico funcione se tiene que alimentar de 3
61
señales como la de la fig. 4.2 pero desfasadas una de otra 120 grados. Como se
observa en la figura 4.4 que es el resultado de el esquema de simulacion de la
figura 4.3.
Figura 4.3 Esquema de simulación tres ondas seno desfasadas 120 grados
Figura 4.4 Ondas seno desfasadas 120 grados una de otra.
5.2.1 Simulación PWM de una fase
A continuación observaremos la simulación de una sola fase ya con la señal PWM
modulada y optimizada. Para ello utilizaremos una onda sinusoidal de 60Hz y una
portadora de 1800 Hz que nos da como resultado un índice de modulación de
N=30. Al tener este valor del índice de modulación observamos que el múltiplo de
62
tres con esto nos aseguramos que los valores de los armónicos 5 y 7 van a ser
mínimos con respecto a la frecuencia fundamental al hacer o aplicar la
transformada rápida de Fourier FFT. Las señales tanto como moduladora como
portadora son inyectadas en un comparador de voltaje, obteniendo así la señal
PWM modulada.
Figura 4.6. Señal moduladora 60Hz
63
Figura 4.7 Señal Portadora onda triangular de 1800Hz
64
Figura 4.8 Obtención de la señal PWM
Como podemos observar en la figura 4.8 ya obtenemos la señal PWM, pero si
observamos detenidamente la señal modulada vemos que tiene simetría de cuarto
de onda esto quiere decir que ya de aquí podemos sacar los valores de los
ángulos respectivos esto se logro ya que el índice de modulación es alto N=30 y
además es múltiplo de tres lo cual como ya se dijo en la sección anterior nos
asegura una buena disminución de los armónicos impares en especial del 5 y 7
como se ve en la figura 4.9
Figura 4.9 Análisis espectral de las señales
También de este análisis podemos decir que al ser la portadora de 1800Hz es
inaudible para el oído humano y el entorno de trabajo seria optimo para el medio
donde se aplique y para las personas que lo manipulen, también los tiempos de
65
conmutación se adaptan a las especificaciones de los IGBT porque con la señal
PWM obtenida aseguro que estos dispositivos que compondrían el puente trifásico
trabajaran dentro de las zonas de saturación y de desactivación de una forma
optima y normal sin sobresaturarlos ni sobrepasando sus especificaciones de
respuesta en frecuencia de disparo.
5.3 Análisis de la simetría de la señal modulada
Existe una diferencia entre los demás métodos de modulación y el de modulación
PWM por eliminación de armónicos y es que los anteriores a este método son
prácticamente análogos o sus principios teóricos y de funcionalidad son totalmente
análogos, mientras que el sistema de eliminar armónicos es mas aplicable a la
digitalización porque en el se establece exactamente en que ángulos se va a
dispara cada IGBT correspondiente en su momento es decir una señal PWM por
fase totalmente y exactamente simétrica, en nuestro caso con simetría de un
cuarto de onda.
Al tener una simetría en la señal es mas fácil de llevar a aún microprocesador o
microcontrolador en nuestro caso aun mejor de un DSP ya que tenemos los
tiempos y ángulos exactos en los cuales el DSP o el control de mando del sistema
de las ordenes a los IGBT para dispararse o desactivarse, y sólo bastaría con
66
saber los ángulos de un cuarto de onda para formar la onda modulada PWM onda
completa por su total simetría.
Figura 5.1 Señal modulada no simétrica N=26.6 y fc=1300Hz
Como podemos ver en la figura 5.1 esta señal no es simétrica, primero por que el
índice de modulación no es múltiplo de tres N=26.6 y porque gráficamente lo
podemos observar ya que si detallamos el cuarto de onda no es simetría con el
otro, o mejor fijémonos en la parte de la señal cuando se acaba el semiciclo
positivo. Ver figura 5.2
67
Figura 5.2 Detalle de la no simetría de la señal
Aquí podemos ver claramente (Fig. 5.2) como cuando la señal seno vuelve a cero,
en ese instante de tiempo la onda triangular o portadora esta en un nivel
intermedio de sus voltajes picos, esto nos dice que al iniciar el otro semiciclo no
tenemos una referencia exacta para poder decir de la simetría de la onda y ya no
podremos tomar como base ni un cuarto de onda ni media onda, para así formar la
onda completa. En este caso resultaría mas fácil obtener la tabla de tiempos para
toda la onda completa pero esto dificultaría un poco a la hora de querer utilizar
estos valores para nuevos índices de modulación óptimos y frecuencias de trabajo
a la hora de montarlo en un sistema digital.
68
Figura 5.3 Señal PWM con simetría de cuarto de onda N=30
Ahora sí observamos con cuidado la figura 5.3 vemos que la señal PWM es
totalmente simétrica, o sea que tiene simetría de cuarto de onda ya que su índice
de modulación es de N=30 con una fc = 1800Hz. Para ver esto con más detalle
veamos las figuras 5.4 y 5.5
69
Figura 5.4. Detalle de la simetría de la señal
En esta gráfica (Ver figura 5.4) podemos ver como en la parte donde la señal seno
(roja) llega a su valor de 0V en ese mismo instante la señal portadora toma un
valor pico exacto y el pulso en ese instante de tiempo de la señal PWM es la mitad
de dicho pulso. Con ello concluimos que esta señal tiene simetría pero de media
onda ahora miremos si para un cuarto de onda también lo debe ser.
70
Figura 5.5. Detalle de la simetría de cuarto de onda de la señal
Observemos en la figura anterior (Ver figura 5.5) que cuando la señal seno o
moduladora (rojo) toma su valor pico también en ese mismo instante de tiempo la
señal triangular o portadora (azul) toma su valor pico y si tomamos como base
este punto como base de observación a lado y lado de dicho punto la señal es
totalmente simétrica, estamos hablando también de la señal PWM (verde).
Sabiendo esto podemos utilizar solo los valores de un cuarto de onda para
construir los valores de toda la onda completa y saber de forma exacta los ángulos
en los cuales se tienen que activar o desactivar los IGBT’s que compondrían el
puente trifásico. De esta forma es mas óptimo a la hora de llevarlos a un sistema
digital en este caso al DSP 56F801 de Motorola porque en base a esta tabla de
tiempos podemos obtener no solo la onda completa sino también modulaciones
con otros índices de modulación optimizadas.
71
5.4 Construcción de la tabla de tiempos y ángulos de disparo
5.4.1 Obtención de los tiempos ON-OFF de la señal PWM
Como observamos en la parte anterior vimos como se formaba y las ventajas que
tenia el construir una onda PWM optimizada con simetría de cuarto de onda, ahora
sabiendo esto se construyo la tabla de valores. Empecemos por observar el cuarto
de onda con N=30. Ver figura 6.1
Figura 6.1. Señal modulada con simetría de cuarto de onda N=30
A partir de esta grafica procedemos a anotar los tiempos en alto y bajo de la señal
PWM de la siguiente forma:
72
Figura 6.2 Obtención de tiempos de la señal PWM
La figura 6.2 muestra un tramo de el inicio de la señal con unos tiempos marcados
(T0, T1,T2,T3……) que son los tiempos en donde la señal PWM (verde) pasa de
alto a bajo o viceversa estos tiempo los anotamos con la ayuda de la herramienta
“Measure”, que encontramos en la barra de herramientas de Psim 6.1.
En la tabla 6.1.1 vemos los tiempos obtenidos de la grafica allí anotamos uno
tiempos Tn, T(n-1) y luego la diferencia T(n-1)-Tn el cual va a ser el tiempo que
nos va a interesar para la conmutación de los IGBT’s. Por ejemplo si observamos
la figura 6.2 vemos que T0=0 y que T1=137.2 microsegundos y la diferencia de
estos dos es el tiempo en ON de el primer pulso de la señal PWM T1-T0 = 137.2
microsegundos, luego tomamos a T1=137.2 y T2=400.94 y hallamos su diferencia
T2-T1=263.74 microsegundos y así sucesivamente hasta obtener todos los
tiempos observados en la tabla 6.1.1
73
T(n-1)
0
137.2
400.94
720.41
932.67
1298.89
1468.27
1882.08
2000.86
2459.69
2536.88
3029.59
3078.90
3591.34
3632.08
4153.5
Tn
137.2
400.94
720.41
932.67
1298.89
1468.27
1882.08
2000.86
2459.69
2536.88
3029.59
3078.59
3591.34
3632.08
4153.5
4169.95
Tn-T(n-1)
137.2
263.74
319.47
212.26
366.22
169.38
413.81
118.78
458.83
77.19
492.71
49
512.44
40.74
521.42
16.45
Tabla 6.1.1 Toma de tiempos para un cuarto de onda (microsegundos)
De acuerdo a la tabla anterior obtenemos ya los tiempos de conmutación de la
señal para un cuarto de onda.
ESTADO
ON
OFF
ON
OFF
ON
OFF
ON
OFF
ON
OFF
ON
OFF
ON
OFF
ON
OFF
Tiempos (μSeg)
137.2
263.74
319.47
212.26
366.22
169.38
413.81
118.78
458.83
77.19
492.71
49
512.44
40.74
521.42
16.45
Tabla 6.1.2 Tiempos resultantes de la señal PWM
74
Ya con estos datos obtenidos en la tabla 6.1.2 podemos construir toda la onda
completa, gracias a su simetría a continuación veremos el vector de tiempos para
onda completa modulada.
Vector tiempos media onda = [137.2, 263.74, 319.47, 212.26, 366.22, 169.38,
413.81, 118.78, 458.83, 77.19, 492.71, 49, 512.44, 40.74, 521.42, 16.45, 16.45,
521.42, 40.74, 512.44, 49, 492.71, 77.19, 458.83, 118.78, 413.81, 169.38, 366.22,
212.26, 319.47, 263.74, 137.2]
Obsérvese en el vector anterior los tiempos en negrilla, allí cuando parte del
primer cuarto de onda al segundo cuarto de onda estos tiempos son en estado
OFF y luego de allí se siguen alternando ON-OFF-ON normalmente.
Vector tiempos onda completa = [137.2, 263.74, 319.47, 212.26, 366.22,
169.38, 413.81,118.78, 458.83, 77.19, 492.71, 49, 512.44, 40.74, 521.42, 16.45,
16.45, 521.42, 40.74, 512.44, 49, 492.71, 77.19, 458.83, 118.78, 413.81, 169.38,
366.22, 212.26, 319.47, 263.74, 137.2, 137.2, 263.74, 319.47, 212.26, 366.22,
169.38, 413.81,118.78, 458.83, 77.19, 492.71, 49, 512.44, 40.74, 521.42, 16.45,
16.45, 521.42, 40.74, 512.44, 49, 492.71, 77.19, 458.83, 118.78, 413.81, 169.38,
366.22, 212.26, 319.47, 263.74, 137.2]
Ahora observemos el vector ya completo resultante para la onda completa,
obsérvese los tiempos resaltados en negrillas 137.2 es allí cuando pasa de la
primera mitad de la onda a la otra mitad, estos dos tiempos son en estado ON y
luego sigue la secuencia ON-OFF-ON normal.
75
5.4.2 Obtención de los ángulos de disparo de la señal PWM
Ya con la tabla de tiempos obtenido podemos ya calcular los valores de los
ángulos exactos de disparo de la señal PWM, esto lo hacemos de la siguiente
forma:
Tomamos como base el periodo de la onda moduladora:
T=1/F
T=1/60
T=0.016666666 seg
En este instante de tiempo la señal ha llegado a 360 grados entonces si queremos
calcular en que ángulo se dispara la señal a para el primer pulso de la señal
realizamos los siguiente tomamos el primer valor de la tabla 6.1.1 el cual es 137.2
microsegundos y hacemos una relación R entre el periodo total de la señal y este
tiempo de disparo:
R=T/Tn
R=0.016666 / 0.0001372
R=121.4
Ya con esta relación hacemos la siguiente división para hallar el ángulo del primer
tiempo de la onda
Alfa1=360/121.4
Alfa1=2.96 grados
76
Y de esta forma obtenemos el primer ángulo este procedimiento se realizo para
todos los tiempos obtenidos en la sección anterior.
Angulo (Grados)
Estado
0
ON
3
OFF
9
ON
16.2
OFF
21
ON
29.2
OFF
33
ON
42.3
OFF
45
ON
55.3
OFF
57
ON
68.1
OFF
69.2
ON
80.8
OFF
81.72
ON
93.45
OFF
93.8
ON
Tabla 6.1.3 Ángulos de disparo de la señal para un cuarto de onda
Los ángulos para la demás parte de la onda van a ser proporcional gracias a su
simetría.
77
5.4.3 Obtención de las tres señales PWM moduladas desfasadas
En esta sección se explica como obtener las formas de onda PWM para cada una
de las fases, en la figura 7.1 vemos el esquema montado en Psim 6.1 para la
obtención de dichas señales.
Figura 7.1 Esquema montado en Psim 6.1 para las tres señales PWM
En la figura 7.1 vemos tres comparadores uno para cada fase y cada uno
alimentado con su respectiva señal seno desfasada 120 grados y estas señales se
comparan con las misma señal portadora triangular para las tres fases.
78
El resultado de estas simulaciones los vemos a continuación.
Figura 7.2 Resultado de la modulación para onda seno con 0 grados de
desfase.
79
Figura 7.3 Resultado de la modulación para onda seno con 120 grados de
desfase.
Figura 7.4 Resultado de la modulación para onda seno con 240 grados de
desfase
80
En las figuras anteriores observamos las tres señales ya moduladas, pero si
observamos mas detalladamente la señal PWM resultante contiene la mismas
secuencias pero con el retraso producido por el desfase de la señal moduladora
como se puede apreciar mejor en la figura 7.5
Figura 7.5. Señales PWM para las tres fases
Y a continuación están las tablas o vectores de tiempos a introducir en el algoritmo
del DSP.
Vector tiempos onda 0 grados = [ 137.2, 263.74, 319.47, 212.26, 366.22,
169.38, 413.81,118.78, 458.83, 77.19, 492.71, 49, 512.44, 40.74, 521.42, 16.45,
16.45, 521.42, 40.74, 512.44, 49, 492.71, 77.19, 458.83, 118.78, 413.81, 169.38,
366.22, 212.26, 319.47, 263.74, 137.2, 137.2, 263.74, 319.47, 212.26, 366.22,
169.38, 413.81,118.78, 458.83, 77.19, 492.71, 49, 512.44, 40.74, 521.42, 16.45,
81
16.45, 521.42, 40.74, 512.44, 49, 492.71, 77.19, 458.83, 118.78, 413.81, 169.38,
366.22, 212.26, 319.47, 263.74, 137.2]
Vector tiempos onda 120 grados = [243.14, 40.74, 521.42, 16.45, 16.45, 521.42,
40.74, 512.44, 49, 492.71, 77.19, 458.83, 118.78, 413.81, 169.38, 366.22, 212.26,
319.47, 263.74, 137.2, 137.2, 263.74, 319.47, 212.26, 366.22, 169.38,
413.81,118.78, 458.83, 77.19, 492.71, 49, 512.44, 40.74, 521.42, 16.45, 16.45,
521.42, 40.74, 512.44, 49, 492.71, 77.19, 458.83, 118.78, 413.81, 169.38, 366.22,
212.26, 319.47, 263.74, 137.2,132.2 , 263.74, 319.47, 212.26, 366.22, 169.38,
413.81,118.78, 458.83, 77.19, 492.71, 49,269]
Vector tiempos onda 240 grados = [17.3, 49, 512.44, 40.74, 521.42, 16.45,
16.45, 521.42, 40.74, 512.44, 49, 492.71, 77.19, 458.83, 118.78, 413.81, 169.38,
366.22, 212.26, 319.47, 263.74, 137.2, 137.2, 263.74, 319.47, 212.26, 366.22,
169.38, 413.81,118.78, 458.83, 77.19, 492.71, 49, 512.44, 40.74, 521.42, 16.45,
16.45, 521.42, 40.74, 512.44, 49, 492.71, 77.19, 458.83, 118.78, 413.81, 169.38,
366.22, 212.26, 319.47, 263.74, 137.2, 137.2, 263.74, 319.47, 212.26, 366.22,
169.38, 413.81,118.78, 458.83, 77.19,475.4]
Obtención de otras ondas PWM modulada a partir de las calculadas
Con la tabla obtenida de cuarto de onda de la tabla 6.1.2 podemos obtener
señales moduladas para índices de modulación diferentes y óptimos asegurando
de que sean múltiplos de tres N=30, N=45,N=60;N=120…..etc. Ya que al pasar
esta tabla al DSP 56f801 de Motorola estos valores los podemos multiplicar cada
uno por un valor K entero y así de esta forma podemos obtener diferentes formas
de onda todas con una optimización buena, para la tabla obtenida si la
82
multiplicamos por K=2 el índice de modulación seria de N=60 y es como si de
forma análoga estuviésemos trabajando una fc portadora de 3600Hz, y así
sucesivamente en dependencia de el índice de modulación que queramos
obtener, siempre tendiendo en cuenta la limitación de los tiempos de disparo de
los IGBT’s.
En la siguiente figura vemos las señales moduladas para índices de modulación
de N=30, N=60, N=120. Ver figura 7.1.1
Figura 7.1.1 Señales PWM con N=30, N=60, N=120
Ahora veamos el análisis espectral al aplicar la transformada rápida de Fourier
FFT de las anteriores señales PWM Ver Figura 7.1.2
83
Figura 7.1.2 Análisis espectral de las señales PWM
Aquí en esta Figura vemos como al aumentar el índice de modulación las
componentes armónicas de la señal se van alejando de el armónico fundamental,
y también observamos que los armónicos 5 y 7 también van disminuyendo su
valor pero por el contrario los armónicos de mayor valor van aumentando pero
estos no influyen o no tienen gran importancia en el desempeño del motor.
5.6 Formas de onda para frecuencias de 40, 50 y 60Hz
En esta sección observaremos las ondas PWM para frecuencias de 40, 50 y 60 Hz
con la misma portadora de utilizada para los análisis de tiempos de las secciones
anteriores.
84
5.6.1 Formas de onda para una Frecuencia de 40Hz
85
5.6.2 Formas de onda para una Frecuencia de 60Hz
86
5.6.3 Formas de onda para una Frecuencia de 50Hz
87
4. ANÁLISIS ECONÓMICO Y ADMINISTRATIVO
El módulo de control elaborado de acuerdo a este estudio demuestra su impacto
en la medida en que el prototipo no solo demando gran cantidad de investigación,
sino que presenta muchos campos para continuarla y llevar a cabo una
optimización mas adecuada para el sector industrial; incrementando el potencial
investigativo de la Universidad De Pamplona, y su participación directa en el
avance tecnológico de la empresa nacional.
También es importante señalar que el desarrollo de este tipo de sistemas pretende
incrementar de manera directa su auge comercial, mediante la reducción de
costos; mediante diseño de calidad pero a bajo y porque no con el mantenimiento
preventivo y correctivo de estos módulos de los convertidores de frecuencia ya
implementados.
El valor comercial de este modulo es de aproximadamente $600.000; costo
justificable si tenemos los valores de sistemas comerciales con similares
características de operación los cuales oscilan entre 4 y $5.000.000 y que además
se contó con una herramienta de altas prestaciones de procesamiento como un
DSP, el cual permite gran versatilidad a la hora de una posible mejora.
88
Descripción
Valor
DSP 56f801
$ 250.000
MATERIALES IMPRESO
$ 10.000
ESTUDIO DE DESARROLLO
$ 250.000
MARCO LEGAL
$ 80.000
INTERNET
$ 30.000
TOTAL
$ 620.000
89
5. ANÁLISIS DE LEGALIDAD
En el diseño e implementación del controlador escalar basado en modulación
PWM de múltiples pulsos, se utilizaron como herramientas de simulación y diseño
las versión Demo de PSIM , que se adquieren de forma gratuita a través de la red
y el software CodeWarrior DEMO como plataforma de desarrollo para el DSP, el
cual también es gratis, dejando el diseño libre requisitos que comprometan la
propiedad intelectual y material del equipo la cual sólo le corresponde al autor y a
la Universidad de Pamplona.
90
6. PROTECCIÓN E HIGIENE DEL TRABAJO
A pesar de ser un sistema que maneja niveles de voltaje y corrientes pequeños y
que se encuentra correctamente aislado de la red de alta potencia, exige una
manipulación adecuada para prevenir cualquier inconveniente con la electricidad.
El diseño presenta dispositivos de alta confiabilidad y disponibilidad; pero el
elemento de control manual para el usuario, el potenciómetro demanda al igual
que el resto del sistema pero de forma más exhaustiva en esta parte, de su
correspondiente mantenimiento preventivo y un adecuado uso para preservar su
funcionalidad al máximo. Periférico del sistema puede llegar hasta necesitar
reemplazo periódico si las condiciones de aseo del equipo y el medio lo requieren.
Este sistema crea un ambiente seguro para el usuario en la medida en no produce
chispas ni olores que puedan dificultar su utilización.
91
7. INFLUENCIA AMBIENTAL DEL TRABAJO
Este proyecto plantea una respuesta concreta a uno de los problemas más
significativos de la actualidad la conservación de la energía, el estudio y
optimización de los convertidores de frecuencia generan aumento de la potencia
disponible y su calidad, evitando la sobreexplotación del medio ambiente por parte
de la comunidad, la cual siempre esta en búsqueda de recursos energéticos que
dan paso al mejoramiento de la calidad de vida.
92
CONCLUSIONES
•
Este estudio deja claro que en el control de velocidad de motores de forma
óptima las estrategias de conmutación basadas en modulación por ancho de
pulso son las más adecuadas.
•
Reafirmamos el gran papel que juegan los entornos de desarrollo y simulación
PSIM en el diseño.
•
Podemos afirmar que las altas capacidades de procesamiento de los DSP’S,
mejoran en gran medida el desarrollo de módulos de control para
convertidores.
93
RECOMENDACIONES
El análisis y elaboración de un bloque de control para convertidor de frecuencia,
basándonos en modulación PWM mediante eliminación de armónicos permite
sugerir explotar mas la capacidad de procesamiento del DSP, desarrollando
módulos de control, modulaciones PWM optimizadas.
También es importante mencionar la importancia de utilizar herramientas
computacionales de emulación y simulación para hacer más viables y eficaces las
tareas de diseño e investigación. Personalmente recomiendo la simulación de los
diseños en varios entornos en paralelo para hacer más fácil la validación de estos
mediante comparaciones técnicas.
94
BIBLIOGRAFÍA
[1].- Díaz Rodríguez, J. L. Control Por Campo Orientado del Motor de Inducción
con Adaptación de los Parámetros por Medio de Referencia. Tesis de
Maestría, UCLV, Santa Clara, Cuba, 2000.
[2].- Pardo G., A. y Díaz R., J. L. Aplicación De Los Convertidores De Frecuencia.
Estrategias PWM. Universidad de Pamplona, Colombia 2004
[3].- Pardo G., A. y otros. Fundamentos De Accionamientos Eléctricos De
Corriente Alterna. Universidad de Camagüey, Camagüey, Cuba, 1996.
[4].- Rashid, M. H. Circuitos Microelectrónicas Análisis y Diseño. Universidad de
Florida, International Thomson Editores.
95
ANEXOS
En la siguiente figura podemos observar el DSP 56F801 de motorota con todas sus respectivas
salidas.
DSP 56F801
Podemos observar el DSP 56F801 con sus respectivas salidas conectadas al osciloscopio para
visualizar las señalesl.
DSP 56F801 CON SUS RESPECTIVAS SALIDAS
96
Visualización de las señales PWM natural con sus ciclos positivos y negativos respectivamente
desfasados 120º, para que las entienda la lógica de los IGBTs.
SEÑALES OBTENIDAS CON EL DSP EN EL OSCILOSCOPIO
Montaje completo del PWM natural para generar las 6 señales que van a los IGBTs
desfasadas 120º cada una de la otra.
97
MONTAJE COMPLETO DEL PWM NATURAL
Practicas para generar las señales en el DSP 56F801, manipulando un osciloscopio de 16 canales
Agilent 54622D de 100MHz.
98
PRACTICAS CON EL DSP PARA GENERAR LAS SEÑALES PWM
99
100
101
ALGORITMO EN EL DSP 56F801 DE MOTOROLA
/**** ALGORITMO PARA GENERAR LAS SEÑALES PWM OPTIMIZADAS */
/**** UTILIZANDO ELIMINACION DE ARMONICOS PARA EL CONTROL */
/**** DE UN MOTOR TRIFASICO
*/
/**** AUTOR: EDWIN YESIDH RIOS
*/
/**** UNIVERSIDAD DE PAMPLONA, COLOMBIA
/**** SEPTIEMBRE 2006 */
#define M5680X
#include "dsp568000x.h"
void
test(void);
static void delay ();
int main(void)
{
int temp=0;
int tabla[61];
int i,control1=1,control2=1,control3=1,c,pointer;
int con1=0,con2=20,con3=40;
int pointer1=1,pointer2=20,pointer3=40;
tabla[1]=274;
tabla[2]=263;
tabla[3]=319;
tabla[4]=212;
tabla[5]=366;
102
*/
tabla[6]=169;
tabla[7]=413;
tabla[8]=119;
tabla[9]=458;
tabla[10]=77;
tabla[11]=492;
tabla[12]=49;
tabla[13]=512;
tabla[14]=41;
tabla[15]=521;
tabla[16]=32;
/*** Cuarto de onda ***/
tabla[17]=521;
tabla[18]=41;
tabla[19]=512;
tabla[20]=49;
tabla[21]=492;
tabla[22]=77;
tabla[23]=458;
tabla[24]=119;
tabla[25]=413;
tabla[26]=169;
tabla[27]=366;
tabla[28]=212;
tabla[29]=319;
103
tabla[30]=263;
/*** Media onda ***/
tabla[31]=137;
tabla[32]=137;
tabla[33]=263;
tabla[34]=319;
tabla[35]=212;
tabla[36]=366;
tabla[37]=169;
tabla[38]=413;
tabla[39]=119;
tabla[40]=458;
tabla[41]=77;
tabla[42]=492;
tabla[43]=49;
tabla[44]=512;
tabla[45]=41;
tabla[46]=521;
tabla[47]=32;
/***tres cuartos de onda ***/
tabla[48]=521;
tabla[49]=41;
tabla[50]=512;
tabla[51]=49;
tabla[52]=492;
tabla[53]=77;
104
tabla[54]=458;
tabla[55]=119;
tabla[56]=413;
tabla[57]=169;
tabla[58]=366;
tabla[59]=212;
tabla[60]=319;
tabla[61]=263;
/*** onda completa ***/
test();
asm(bfclr
#$0020,X:GPIOB_DR);
//pone 1 en el bit B5
while(1)
{
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
105
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
asm(nop);
//****** CONTROL FASE 1 ************
if (tabla[pointer1]==con1)
{
if (control1==1)
{
if (pointer1<=31)
{
asm (bfset #$0020,x:GPIOB_DR);
asm (bfclr #$0080,x:GPIOB_DR);
}
else
{
asm (bfclr #$0080,x:GPIOB_DR);
asm (bfclr #$0020,x:GPIOB_DR);
}
control1=0;
}
106
else
{
if (pointer1<=31)
{
asm (bfclr #$0020,x:GPIOB_DR);
}
else
{
asm (bfset #$0080,x:GPIOB_DR);
}
control1=1;
}
con1=0;
pointer1++;
if (pointer1==32)
{
control1=0;
}
if (pointer1==62){pointer1=1;}
}
107
//****** CONTROL FASE 2 ************
if (tabla[pointer2]==con2)
{
if (control2==1)
{
if (pointer2<=31)
{
asm (bfset #$0040,x:GPIOB_DR);
asm (bfclr #$0010,x:GPIOB_DR);
}
else
{
asm (bfclr #$0010,x:GPIOB_DR);
asm (bfclr #$0040,x:GPIOB_DR);
}
control2=0;
}
else
{
if (pointer2<=31)
{
asm (bfclr #$0040,x:GPIOB_DR);
}
else
108
{
asm (bfset #$0010,x:GPIOB_DR);
}
control2=1;
}
con2=0;
pointer2++;
if (pointer2==32)
{
control2=0;
}
if (pointer2==62){pointer2=1;}
}
//****** CONTROL FASE 3 ************
if (tabla[pointer3]==con3)
{
if (control3==1)
{
if (pointer3<=31)
{
asm (bfset #$0001,x:GPIOB_DR);
asm (bfclr #$0002,x:GPIOB_DR);
}
else
109
{
asm (bfclr #$0002,x:GPIOB_DR);
asm (bfclr #$0001,x:GPIOB_DR);
}
control3=0;
}
else
{
if (pointer3<=31)
{
asm (bfclr #$0001,x:GPIOB_DR);
}
else
{
asm (bfset #$0002,x:GPIOB_DR);
}
control3=1;
}
con3=0;
pointer3++;
if (pointer3==32)
{
control3=0;
}
110
if (pointer3==62){pointer3=1;}
}
con1++;
con2++;
con3++;
}
}
void test(void)
{
asm (move
#$0000,x:GPIOB_PER)
asm (move
#$0000,X:GPIOB_PUR)
asm (move
#$0000,X:GPIOB_IAR)
asm (move
#$0000,X:GPIOB_IENR)
asm (move
#$0000,X:GPIOB_IPOLR)
asm (move
#$0000,X:GPIOB_IESR)
asm (move
#$00F3,x:GPIOB_DDR)
asm (move
#$0000,x:GPIOB_DR)
}
111
Descargar