TOP LEVEL

Anuncio
TOP LEVEL
y~77
Cin
A
B
y~77
. PROCEDIMIENTO PARA CREAR UN PACKAGE (PAQUETE)
1.1. Crear una carpeta llamada ”compuerta” (de preferencia evite una ruta con
nombres largos).
Al analizar el circuito de la figura 1 se observan tres compuertas diferentes. Por lo que
procede a editar tres archivos que las describan en VHDL, a los que se les asignarán
los nombres de: or_exc.vhd, and1.vhd, y or1.vhd, y se almacenarán en la carpeta
Ing Yesid Santafe Electronica Digital
Ahora se procede a editar el archivo que contendrá todos los componentes que se van a utilizar
en el sumador. Todos ellos van estar englobados dentro de lo que en VHDL se conoce como un
PACKAGE. Para identificar a éste último se le asignará el nombre de componentes y se
almacenará en la misma carpeta
Ing Yesid Santafe Electronica Digital
Edite el archivo que implementa el sumador completo y almacénelo en la carpeta compuerta
con el nombre de compuerta.vhd. Este es el archivo TOP-LEVEL debido a que es el archivo
que manda a llamar a los componentes.
Realizar la simulación e Implementación en la cycloneII DE1
Ing Yesid Santafe Electronica Digital
Descargar