Subido por JUAN ESTEBAN QUINTANA BARRERA

Doc. traducido ciencia de los meteriales

Anuncio
Hoy materiales Volumen 16, Número 12 diciembre 2013
INVESTIGACIÓN
RESEARCH: Review
Dirigida autoensamblaje de copolímeros de
bloque para la próxima generación de
nanolitografía
Seong-Jun Jeong 1 , * , Ju Young Kim 2 , Bong Hoon Kim 3 , Hyoung-Seok Luna 2 y Sang Kim Ouk 2 , *
1 Dispositivos
2 Centro
de R & D Center, SAIT, Samsung Electronics, Yongin 446-712, República de Corea
de Nanomateriales y reacciones químicas, Instituto de Ciencias Básicas (SII), Departamento de Ciencia de los Materiales e Ingeniería, KAIST, Daejeon 305-701, República de Corea
3 Departamento
de Ciencia de los Materiales e Ingeniería de la Universidad de Illinois en Urbana-Champaign, Urbana, IL 61801, EE.UU.
Dirigida autoensamblaje de copolímeros de bloque ha recibido una gran cantidad de atención de la investigación como nanolitografía prometedor
para complementar las limitaciones intrínsecas de la fotolitografía convencional. En esta revisión, se destacan los recientes progresos en el
desarrollo del proceso de autoensamblaje dirigido para su utilización práctica en aplicaciones de semiconductores. Varios avanzada autoensamblaje
enfoques dirigidos se examinan, en el que copolímero de bloque de autoensamblaje se integra de forma sinérgica con la fotolitografía convencional,
tal como ArF litografía o litografía I-line, a través de ya sea epitaxial autoensamblaje o el foco graphoepitaxy principle.We en las ventajas prácticas
anticipado integración de auto-ensamblaje fromdirected, tales como multiplicación densidad del patrón, característica mejora el tamaño de la
uniformidad, la reducción de la rugosidad de borde de la línea, así como la reducción de costes. Además, una dirección para futuras investigaciones
sobre autoensamblaje dirigido se sugiere con diversas aplicaciones potenciales.
Introducción
[31-33] . Esos consorcios han investigado sistemáticamente los requisitos básicos para la
Nanolitografía es un requisito tecnológico fundamental para la fabricación del dispositivo
integración efectiva de DSA en los procesos de semiconductores comerciales en términos
semiconductor [1] . Sin embargo, todavía no se ha establecido ninguna tecnología viable
de materiales, equipos y flujos proceso fl. Además, un considerable esfuerzo de
para la sub-14 patrones escala nm. autoensamblaje Dirigida (DSA) de copolímeros de
investigación se ha dedicado a la optimización del proceso de DSA, el desarrollo material
bloque (BCP) genera lateralmente ordenó, arrays periódicas de esferas autoensambladas,
relevante, análisis de defectos / reducción, la integración pila de ataque, y así
cilindros, o laminillas con un tamaño de la característica típica en la región de 3-50 nm
sucesivamente. Tales esfuerzos de colaboración llegaron a tener una línea de proceso
DSA-compatible fabuloso para obleas de 300 mm. Este rápido advenimiento de un proceso
[2-6] . Los nanopatrones autoensambladas altamente ordenadas pueden ser explotados para las
práctico DSA es en gran parte a la compatibilidad inherente de DSA con un proceso de vía
máscaras litográficas para arreglos lineales paralelas o matrices de puntos hexagonales /
convencional de la oblea [31-35] .
cuadrados [6-13] . Como resultado del esfuerzo de investigación prolongada durante las dos
últimas décadas, DSA está atrayendo una gran atención como una tecnología complementaria
para fotolitografía convencional con una variedad de beneficios potenciales, tales como precisión
DSA integra de abajo hacia arriba de auto-ensamblaje con de arriba hacia abajo
escala molecular patrón, ultra fi borde de la línea ne rugosidad (LER) y baja procesamiento coste [14-29]litografía convencional [8,16,20] . patrones de superficie generada por fotolitografía
convencional, tales como la litografía de haz de electrones, la litografía ArF, y la litografía
.
I-line, dirigir la orientación y el orden posicional de BCP nanodominios autoensambladas
Recientemente, varios consorcios DSA se organizaron en todo el mundo, incluyendo la
para nanopatrones periódicas lateralmente ordenados [14-29] . El principio DSA es
generalmente clasificarse en 'auto-ensamblaje epitaxial' y 'graphoepitaxy' de acuerdo con
industria y el mundo académico, como se resume en tabla 1
la naturaleza del patrón de superficie director de estructura. 'Auto-ensamblaje Epitaxial'
* Correspondientes autores :. Jeong, S.-J. ( [email protected] ), Kim, SO ( [email protected]
emplea patrones químicos densos
)
468
1369-7021 / 06
2013 Elsevier Ltd.
El acceso abierto bajo licencia CC BY-NC-ND.
http://dx.doi.org/10.1016/j.mattod.2013.11.002
Hoy materiales Volumen 16, Número 12 diciembre 2013
INVESTIGACIÓN
TABLA 1
consorcios DSA para escala mm de la oblea 300 Proceso línea DSA compatible-fab
CEA-Leti
Equipo
materiales
Año de consorcio
Árbitro
Tokyo Electron Ltd., Sokudo
ARKEMA, Laboratorio de Microelectrónica Technologies (LTM), Laboratoire de
2009
[31]
IBM
Materiales aplicados
JSR Micro. Inc., materiales electrónicos AZ
2010
[32]
IMEC
Tokyo Electron Ltd.
materiales AZ Electrónica de la Universidad de Wisconsin-Madison
2012
[33]
a BCP directa auto-ensamblaje, como se ilustra en Figura 1 a. nanopatrones altamente
Figura 1 b, D imágenes presentes SEM de morfologías laminillas ensamblados dirigidas en
ordenadas se anticipan si el periodo de patrón químico de superficie se corresponde con el
poli (estireno- bloquear- metacrilato de metilo) (PS- si-
período de equilibrio de la BCP selfassembled nanoestructura. El control de orientación de
PMMA) delgada película consigue por auto-ensamblaje epitaxial y graphoepitaxy,
nanodominios BCP con patrones químicos a nanoescala se introdujo por Russell et al. en
respectivamente [18,36] .
1997 [30] . Sin embargo, la primera nanoestampación DSA libre de defectos integrado con
Hasta la fecha, los dos principios antes mencionados DSA han progresado
la litografía de arriba hacia abajo se demostró por Kim et al. en 2003 [dieciséis] .
satisfactoriamente para los procesos prácticos orientados a dispositivos. El objetivo principal
'Graphoepitaxy' utiliza las características topográficas de sustratos litográficamente
de este artículo es revisar el estado actual de la tecnología DSA avanzada para procesos de
preestructurada para DSA ( Figura 1 c), como primera introducido por Kramer et al. en 2001
fabricación de dispositivos semiconductores práctica. Es de destacar que muchos artículos
RESEARCH: Review
Chimie des Polyme` res Organiques (LCPO)
de revisión bien preparados para nanoestampación basado BCP ya están disponibles [5,6,8,13,3752] . Mientras que los artículos anteriores se han centrado en los logros cientí fi cos, este
[20] . La humectación selectiva de un componente de BCP en particular en las paredes laterales
artículo se resumen principalmente los recientes avances tecnológicos para el proceso de
zanja hace cumplir el ordenamiento lateral de los nanodominios BCP autoensambladas a lo largo
fabricación del dispositivo práctico logrado desde la academia y la industria.
de las trincheras y de ese modo aumenta la densidad del patrón mediante la subdivisión de la
pre-patrón topográfico.
[(Figure_1) TD $ FIG]
Epitaxial Self-Assembly
(b)
(a)
m:,mm:mfü',lllllllllllUclll!
Lithographically determined
dense chemical pre-pattern
Lamellar patterns
by epitaxial self-assembly
Epitaxial self-assembled
BCP nanopatterns
G raphoepitaxy
(e)
(d)
Lithographically pre-patterned
topographical features
Graphoepitaxially assembled
BCP nanopatterns
Lamellar patterns
by graphoepitaxy
FIGURA 1
(A) Representación esquemática de los patrones químicos nanoscópicas auto-ensamblaje explotando epitaxiales a BCP directa autoensamblaje [dieciséis] . (B) imagen de SEM que muestra los patrones de defectfree lamelares alcanzado
por epitaxial autoensamblaje [dieciséis] . (C) Esquema ilustración de graphoepitaxy utilizando patrón topográfico a BCP directa ensamblaje
[20] . (D) imagen de SEM que muestra patrones laminares altamente alineados preparado por graphoepitaxy [35] .
469
Volumen 16 Materiales Hoy en día, el número 12 de diciembre de 2013
INVESTIGACIÓN
TABLA 2
Avanzada DSA procesos de 'auto-ensamblaje epitaxial' y el proceso de 'graphoepitaxy' DSA
Pre-patrón de tipo /
tipo de fotolitografía
materiales
Epitaxial autoensamblaje
Graphoepitaxy
Publicado multiplicación máxima densidad
Organización
patrón
de flujo térmico
ArF
Un factor de tres
KAIST (2009 0)
Despegar
inmersión ArF
Un factor de cuatro
IBM (2010 0) IMEC
recorte del patrón
inmersión ArF
Un factor de cuatro
T de Wisconsin-Madison (2010 0) IMEC
RESEARCH: Review
fotoprotector tono negativo
Yo alineo
KAIST (2009 0)
fotoprotector NTD
ArF inmersión KrF
IBM (2010 0) IMEC CEA-Leti
autoensamblaje Epitaxial integrado con la fotolitografía convencional
fl ujo proceso térmico
En los primeros días de la investigación, los enfoques de autoensamblaje epitaxiales se
convencional se basa en un 'fl ujo térmico proceso', que se logró por nuestro grupo de
basaron en una relación 1: período conmensuración 1 patrón entre un patrón de
investigación en colaboración con Samsung Electronics en 2009 [53] . En este trabajo, 193
auto-ensamblado de equilibrio BCP y un patrón químico director de estructura de superficie
litografía ArF nm se utilizó para generar patrones químicos sobre una superficie de sustrato
[12] . Por el contrario, la corriente DSA avanzada enfoques encaminados a dispositivo
fi ed neutralmente Modi, como se ilustra en
El primer éxito de la integración de la epitaxial autoensamblaje principio con litografía ArF
práctico procesar comúnmente explotar la multiplicación densidad del patrón de
fotolitografía convencional por principios de autoensamblaje. multiplicación densidad patrón
Figura 2 a. patrones fotorresistentes altamente asimétrica con períodos patrón mucho más
efectivo integrado con la fotolitografía convencional es muy deseada, en particular debido a
grandes que los períodos de patrón BCP se preparan a través de la fl térmica ow proceso
la demora inesperada del desarrollo de la próxima generación extrema ultravioleta litografía
siguiente ArF fotolitografía. Un reactivo de iones de grabado (RIE) Proceso de oxígeno se
(EUV). Las estrategias de mejora de la densidad del patrón se pueden resumir en los
utiliza para oxidar selectivamente la capa neutra expuesto en la región de espacio estrecho
siguientes tres enfoques; 'Fl ujo térmico proceso', 'proceso de despegue,' y 'proceso de
del patrón fotorresistente. patrones químicos de la superficie asimétrica que consta de una
recorte patrón', como se resume en Tabla 2 [53-61] .
alternancia amplia franja neutral y una raya polar estrecho oxidado se preparan sobre la
superficie del sustrato después de un lavado de disolvente de la resina fotosensible. La
densidad del patrón de la química
[(Figure_2) TD $ FIG]
---+
Single Exposure
and Develop
---+
Photoresist Thermal Flow
to Narrow Spacing
(b)
(e)
---+
Chemically Patterned Surface
Via Oxygen Plasma
Self-Assembled Multiple
Patterning
Double arrays of Al nanodots
FIGURA 2
(A) Ilustración esquemática de procedimiento de auto-ensamblaje epitaxial basado en un 'fl térmica ow proceso' [53] . imágenes de SEM de (b) arrays dobles nanocylinder verticales en películas BCP, (c) Al nanodot arrays dobles, y (d)
conjuntos de pilares de sílice preparados por transferencia de patrones.
470
Hoy materiales Volumen 16, Número 12 diciembre 2013
INVESTIGACIÓN
patrón definido por litografía convencional se mejora con éxito por un factor de dos o tres
(Ii) BCP fi lm espesor debe ser optimizado para libre de defectos formación de patrones, y (iii)
con BCP auto-ensamblaje.
formación de la capa neutra no uniforme cerca de las paredes laterales del patrón
Figura 2 b, las imágenes C muestra SEM de una nanocylinder vertical de patrones de
fotorresistente debe reducirse al mínimo para la tolerancia proceso [33] .
matriz doble inBCP y 20 arrays dobles nmscale Al y nanodot sílice preparados por
transferencia patrón posterior. Este trabajo demuestra fi rstly que epitaxial autoensamblaje
se puede integrar de forma sinérgica con la fotolitografía convencional para una ventaja
proceso de recorte patrón
significativa en la multiplicación densidad patrón.
El 'proceso de recorte patrón' fue primero desarrollado por el grupo en 2010 Nealey [55] .
En este proceso, se utilizó ArF litografía de inmersión para preparar patrones químicos ( La
capa de ARC inorgánico (nitruro de silicio delgada fi lm en este trabajo). Después, los
multiplicación de la densidad del patrón basado en un 'proceso de despegue' fue reportado
patrones positivetone fotorresistentes se generan sobre el sustrato PS reticulado por ArF
por IBM en 2010 [54] . En este método, se utilizó ArF litografía de inmersión para crear un
litografía de inmersión. Los patrones de líneas fotorresistentes resultantes son-trim
patrón químico en un revestimiento superficial antirreeleccionista reflexivo (ARC). El proceso
grabado al agua fuerte y se transfiere a la capa de PS subyacente. capa aneutral es
global se brevemente describe en Fig. 3 a. patrones de fotoprotector positivo de tono se
spin-coatedover toda la superficie y recocido térmicamente para injerto selectivamente en
preparan por ArF litografía de inmersión en un silicio mediante revestimiento por
el sustrato de nitruro de silicio. El enjuague posterior disolvente elimina moléculas cepillo
centrifugación ARC. Para mejorar la solubilidad en un revelador, la polaridad del patrón
ONU injertado. De esta manera, planar patrones químicos asimétricos con rayas PS
fotorresistente restante se conmuta mediante el uso de la exposición UV y una etapa de
estrechos y Rayas del cepillo amplia neutros pueden ser creados. Por último, mientras que
cocción. Una capa neutral es spin-revestido sobre la patternwith fotorresistente conmuta la
BCP LMS fina Fi son spin-revestido sobre el pre-patrón químico asimétrica y recocido
polaridad. El patrón fotorresistente se levantó-off por el desarrollador difundido a través de la
térmicamente, Figura 4 b-D muestra SEM imágenes de un patrón fotorresistente (35 líneas
capa neutra. Esto genera patrones químicos asimétricos que contienen silicio estrecha ARC
nm / 100 nm pitch) y recortar patrones de guía grabada de 15 y 12,5 nm-line / espacio
tiras entrelazado con rayas capa amplia neutros. Finalmente, BCP películas delgadas son
patrones laminares autoensambladas generada por 4 multiplicación realiza en el IMEC fab
spin-fundido y recocido térmicamente para generar patrones de líneas BCP de alta densidad. figuralínea de proceso de obleas de 300 mm, respectivamente [56] . Debido a la generación de
3 b, c imágenes presentes SEM de un patrón químico (35 líneas nm / 112 nm pitch)
una pre-patrón químico asimétrica planar, este enfoque puede ofrecer un proceso DSA
preparado por ArF litografía de inmersión y 14 nm de línea / lamelar espacio PS- si- patrones
estable integrado con la litografía convencional. PIEM y TEL nota que este enfoque ofrece
de PMMA, respectivamente. Es de destacar que este trabajo se llevó a cabo en la línea de
una gran ventana proceso para la latitud de proceso y Defectibilidad
proceso 300 mm-fab de IMEC [33] . Varias variables de proceso para el éxito en 300
mm-obleas se pueden resumir como sigue: (i) el espesor de la capa fotorresistente debe ser
inferior a 60 borde de la línea tominimize nm / rugosidad anchura del modelo de resina
fotosensible,
[31,56] .
[(Figure_3) TD $ FIG]
[(Figure_4) TD $ FIG]
(a)
(a)
t>
Switch Resist Polarity
Pattern Resisten BARC
Coat Neutral Layer
X-PS cross-linking
lnorganic ARC
deposition
t>
LiftOff
•
•
t>
1931 interference
lithography
0 1 plasma etchlng
(breakthrough and trlm)
t>
Coa! BCP
Anneal
PR strip with
random-OH
BCP directed-
solvent
brush deposition
assembly
PMMA removal
(e)
(e)
(d)
FIGURA 4
(A) Ilustración esquemática de epitaxial autoensamblaje basado en un 'proceso patrón de ajuste fino' [55] .
imágenes de SEM de (b) patrones de fotorresistentes que tienen 35 líneas nm y 100 de paso nm generada por
FIGURA 3
ArF litografía, (c) recortar dibujo grabado de guía que tiene 15 líneas nm, y (d) patrones de 12,5 nm de línea /
(A) Ilustración esquemática de epitaxial autoensamblaje basado en un 'lift-off proceso' [54] . imágenes
espacio BCP lamelares libres de defectos generada por 4 multiplicación de autoensamblaje epitaxial con un
de SEM de (b) un patrón fotorresistente prepararon por inmersión ArF litografía (35 líneas nm / 112 nm
'patrón proceso de recorte' en un 300 mm de obleas, respectivamente
pitch) y (c) 14 nm-line / patrones laminares espacio BCP preparados por epitaxial autoensamblaje [33] .
[55] .
471
RESEARCH: Review
Fig. 4 un). En el paso primero, un (PS) capa de poliestireno reticulado se preparó sobre una
procedimiento de despegado
Volumen 16 Materiales Hoy en día, el número 12 de diciembre de 2013
INVESTIGACIÓN
[(Figure_6) TD $ FIG]
graphoepitaxy avanzada con desechables pre-patrones topográficos
(a)
193nm Exposure
A diferencia de graphoepitaxy original de enfoques generalmente se basa en patrones de
(b)
Negative tone development
193 nm photoresist (NTD)
193nm resist
Neutral Underlayer
sustrato duro grabadas, que permanecen después de la transferencia patrón, graphoepitaxy
avanzado se acerca patrones fotorresistentes orgánicos emplean como desechables
•
pre-patrones topográficos para integrar BCP auto-ensamblaje con la fotolitografía
Solvent
Development
convencional [54-61] . Este simple cables idea a un proceso de nanofabricación con ningún
rastro de la pre-patrón topográfico director de estructura después de la transferencia patrón,
que es muy deseada para las arquitecturas fabricación requiringmultilayer dispositivo
RESEARCH: Review
(e)
práctico. Los enfoques relevantes se resumen en Tabla 2 .
DSA patterns into NTDresist
Proceso basado en resina fotosensible tono negativo
Neutral Underlayer
Graphoepitaxy con un desechable pre-patrón topográfico se fi rstly introducido por nuestro
grupo de investigación en 2009 [57] . Este enfoque utiliza un 'patrón fotorresistente negativo
de tono orgánico' preparado por litografía I-línea como desechables pre-patrones
FIGURA 6
topográficos para BCP graphoepitaxial montaje. En consecuencia, cualquier traza del
(A) Ilustración esquemática de graphoepitaxy empleando un desarrollo tono negativo (NTD) resistir
patrón topográfico es totalmente desmontable por un proceso de limpieza suave después
pre-patrón preparado por ArF litografía [54] . imágenes de SEM de (b) la estructura fotorresistente
de la transferencia del patrón. Figura 5 A ilustra esquemáticamente el procedimiento
general. El patrón de tono fotorresistente negativo se prepara mediante litografía I-línea.
NTD endurecido y (c) altamente alineados 14 nm-line / patrones laminares espacio BCP dentro de
NTD fotorresistente patrones topográficos [54] .
BCP LMS fina Fi son spincoated sobre las trincheras fotorresistentes y se recuecen
térmicamente para inducir una morfología altamente ordenada. En este enfoque, una
elección racional de resina fotosensible orgánica tono negativo es crucial para el éxito de
Proceso basado en el desarrollo tono negativo
DSA. En este sentido, destacamos varios requisitos: (i) un patrón fotorresistente
Un artículo titulado 'NTD fotoprotector basa topográfico pre-patrón' fue publicado por IBM
desechable debe mantener su integridad estructural durante la etapa de recocido térmico /
en 2010 [54] . En este enfoque, un fotorresistente desarrollo tono negativo (NTD) fue
disolvente para BCP autoensamblaje [57,61] y (ii) la región de zanja de la pauta
seleccionado como un material topográfico novedoso para la integración efectiva de BCP
fotoprotector debe protegerse la radiación de energía fromhigh para prevenir el daño por
auto-ensamblaje con KrF [62] / ArF [63,64] litografía. En un paso NTD, una región no
expuesta de un fotorresistente tono positivo se elimina durante el desarrollo en lugar de la
radiación de la capa orgánica neutral. Figura 5 B se presenta una imagen SEM de PS- si- morfología
laminar PMMA ordenó lateralmente a lo largo del patrón de resina fotosensible preparado
eliminación de un expuestos
por fotolitografía I-línea. Este trabajo también demuestra que el metal o semiconductor
[(Figure_7) TD $ FIG]
matrices de nanohilos (20 nm-Al NWs) se puede producir por un procedimiento de
despegado sin rastro de la patrón topográfico director de estructura ( La Fig. 5 C).
(a)
(b)
[(Figure_5) TD $ FIG]
(a)
1-line
.
a
.
b
PR
11 \\
■
PR
Active region Polysilicon gate
Contact hale • Connection
(d)
(e)
FIGURA 7
FIGURA 5
(A) Contacto disposición de los orificios del circuito 6T-SRAM nm IBM 22. (B) Modi fi ed diseño mediante la
(A) Ilustración esquemática de graphoepitaxy utilizando un tono fotorresistente pre-patrón negativo
sustitución de las conexiones rectangulares con orificios cuadrados y una de las fi cación modi (resaltado por los
preparado por la litografía convencional [57] . imágenes de SEM de (B) altamente ordenada patrones
círculos azules) [66] . imágenes de SEM de (C) orificios de contacto de Si fabricados con ArF fotolitografía de
laminares BCP a lo largo de los patrones topográficos fotorresistentes y (c) el diseño transferido arrays Al
inmersión y (d) de contacto resultado contracción agujero para IBM trazado del circuito 6T-SRAM 22 nm
nanocables sin
correspondiente [66] .
472
rastro de la pre-patrón topográfico director de estructura.
Hoy materiales Volumen 16, Número 12 diciembre 2013
INVESTIGACIÓN
región. Un NTD fotorresistente incluye un agente de reticulación para endurecer la resina
Contacto contracción agujero
fotosensible con bicarbonato térmica después de la etapa NTD
Debido a la simplicidad tecnológica relativa, contracción tamaño del patrón con un proceso
[sesenta y cinco] . En consecuencia, el patrón fotorresistente NTD tiene una estabilidad
de DSA es un objetivo cerca en el proceso de semiconductor [31-34,54,66] . En particular,
térmica superior mientras que se puede eliminar fácilmente por lavado con disolvente
graphoepitaxy con un patrón topográfico basado en NTD ha sido ampliamente investigado
suave. Figura 6 A muestra la ilustración esquemática de un graphoepitaxy el empleo de un
para reducir la dimensión crítica (CD) y rugosidad borde de contacto (CER) del orificio de
fotorresistente NTD [54] . Debido a la alta estabilidad térmica / química de la resina
contacto ya que el informe de IBM en 2010
fotosensible hardenedNTD, no hay deterioro del patrón fotorresistente durante la colada
giro de películas BCP y el recocido térmico a 200 8 C ( La Fig. 6 si).
[54] . Recientemente, un 300 mm línea piloto DSA CEA-Leti se utilizó para demostrar que
Figura 6 C se presenta una imagen SEM de alta calidad 12,4 nm-line / espacio PS- si- patrones
enfoque [31] . Además, Tokyo Electron Ltd. (TEL), el mayor proveedor pista de obleas del
de PMMA generados utilizando proceso patrón topográfico basado en NDT [55] .
mundo, demostró que (i) el proceso de desarrollo húmeda ofrece las mejores condiciones
Recientemente, IMEC también llevó a cabo este enfoque para probar la viabilidad de
posibles para eliminar el núcleo de PMMA en el agujero encogido y (ii) se observa la
proceso en una línea de 300 mm-fab. Se informó de que (i) la LER de patrón BCP mejora
curación de CD en la dimensionuniformity improvementof crítico (CDU; from1.8 nmto0.9
en comparación con NTDpre-patrón y (ii) un patrón DSA libre de defectos es attainedwhile
nm) y el contacto rugosidad borde (CER; de 3,7 nm a 0,8 nm) a partir de
la ventana de proceso NTD está bajo 3% latitud de exposición [33] .
[(Figure_8) TD $ FIG]
l•llne
l l l ll
(a)
..
..
(1) Photoresist patterning by
conventional lithography
Mask
PR
(ii) Graphopitaxial block
copolymer assembly
(ili) Nanopattemed etchlng of
underlying neutral brush
Photoresist
■
Neutral polymor brush
■ PSdomaln
■ SI substrale
■
Etched polar reglon by 0 2•
■ PMMAdomaln
•
(v) Ullralarge-Area epitaxlal
block copolyor assombly
•
(lv) Residual polymor & photoreslst
dlsposal to expose chemlcal pattom
(b)
FIGURA 8
(A) Representación esquemática de la integración sinérgica de auto-ensamblaje epitaxial y graphoepitaxy [60] . (B) imagen SEM de gran superficie altamente ordenó nanopatrones lamelares BCP creados por la
integración sinérgica de epitaxial de auto-ensamblaje y graphoepitaxy.
473
RESEARCH: Review
un tamaño de orificio de contacto nm 100 puede reducirse eficazmente hasta 15 nmby este
Volumen 16 Materiales Hoy en día, el número 12 de diciembre de 2013
INVESTIGACIÓN
dirección y aplicaciones del proceso DSA emergentes
el patrón de guía de agujero [33] . Recientemente, el grupo de investigación de Wong
presenta el potencial de un proceso para la práctica DSA agujero patrón de contacto de
circuito integrado [66] . Figura 7 a, b muestra una disposición orificio de contacto de un circuito
proceso DSA para sub 10 nm nanolitografía escala
6T-SRAM 22 nm IBM y una disposición fi ed modi mediante la sustitución de las conexiones
DSA se considera una solución litográfica potencial que puede ser integrado con ArF
RESEARCH: Review
rectangulares con orificios cuadrados, respectivamente. Figura 7 c, los presentes d las
fotolitografía de inmersión para 16 nmline / espacio de proceso programado en 2018
imágenes de SEM de los orificios de contacto de silicio de la modi fi ed diseño fabricado con
DRAM [1] . En este objetivo, ampliamente utilizado PS- si- PMMA BCP con un período
193 nm ArF inmersión fotolitografía y el correspondiente resultado de contactos agujero
lamelar mínimo de 12 nm se puede emplear. Por el contrario, existen desafíos para 11 nm
contracción, respectivamente. Si bien este trabajo emplea patrones de guía de Si, demuestra
de línea / espacio de proceso de litografía de la producción de NAND flash dirigidos a
que la contracción agujero con DSA puede alcanzar una dimensión crítica mínimo de 15 nm y
2019, como se resume en Tabla 3 [1] . Entre ellos, el problema más significativo es el
la precisión sobre-lay de 1 nm en un diseño de orificio de contacto práctico
tamaño de la característica mínimo, que se determina intrínsecamente por el parámetro de
interacción de Flory-Huggins ( X) de BCP. X es un parámetro asociado con la entalpía de
mezclado para químicamente diferentes bloques BCP [2] . PD- ampliamente utilizado si- PMMA
[66] .
tiene un valor bajo de x = 0.05 [68] , Lo que conduce al período mínimo de patrón 12,5 nm
de línea / espacio. Para los tamaños de características más pequeños, de alta diversa X BCP,
la integración sinérgica de auto-ensamblaje y graphoepitaxy
tales como poliestireno bloquear-
epitaxial
La integración sinérgica de los dos principios de 'epitaxial autoensamblaje' y
'graphoepitaxy' fue demostrado por nuestro grupo de investigación en 2010 [60] . Este
óxido de polietileno (PS-b-PEO; x = 0.17) [69] , poliestireno
enfoque fue desarrollado originalmente para hacer frente a la limitación intrínseca de la
bloquear- poli (dimetilsiloxano) (PS- si- PDMS; x = 0.26) [67] , Y poli (2-vinilpiridina) - bloquear- poli
superficie máxima configurable por el principio graphoepitaxy. En este enfoque, como se
(dimetilsiloxano)
ilustra esquemáticamente en la La Fig. 8 a, jerárquica graphoepitaxial morfología compuesta
PDMS; x = 1.07), han sido explotados para sub-11 patrones de línea / espacio nm.
de las matrices de patrón fotorresistente y laminares BCP desechables se utiliza como una
Además, puesto que la anchura interfacial entre los químicamente diferentes nanodominios
máscara de modelado químico para el patrón química del sustrato subyacente. Después
de un patrón BCP es proporcional a X medio, un alto X puede conducir a una LER bajo del
de la lm BCP fi y fotorresistente desechable pre-patrón se eliminan por lavado con
patrón selfassembled [8] . Diversos procesos DSA emplean altos
(P2VP- si-
disolvente, el mismo lm BCP fi se epitaxial monta en el restante sustrato modelado
químicamente. Una morfología laminar altamente orientado se crea con ningún rastro de la
X BCP materiales han sido introducidos por el grupo de investigación de Ross y otros [25,26,70-77]
estructura de la dirección de la pre-patrón fotorresistente sobre un área arbitrariamente
. Figura 9 a, imágenes B muestra SEM de altamente ordenadas 8 patrón BCP nm y 9 nm arrays
grande. Observamos que las áreas de sustrato previamente protegidos por la capa de
NW prepararon usando PS- si- PDMS, respectivamente [73] . Recientemente, Jung y Ross
resina fotosensible no se modelan químicamente. No obstante, las matrices laminares
también introdujo otro alto X BCP, P2VP- si- PDMS que pueden generar patrones de 6 escala
registradas en las regiones vecinas estampadas químicamente hacer cumplir el
línea nm / espacio ( La Fig. 9 discos compactos) [75] .
ordenamiento lateral de nanodominios lamelares en las regiones no modelada. Figura 8 B
muestra la imagen SEM de la gran superficie resultante nanopattern lamelar
DSA flexible / transferibles con grafeno
autoensamblada.
Recientemente, varios campos de aplicación FI para DSA distinta de proceso dispositivo
semiconductor han surgido. Entre ellos, nuestro grupo de investigación ha demostrado
recientemente flexible / tecnología transferible DSA
TABLA 3
requisitos de resina fotosensible y DSA desafía para el potencial solución Año litográfica de la producción
2013
2016
2018
2019
2020
terreno de juego DRAM ½ (nm)
28
20
dieciséis
14
13
terreno de juego MPS / ASIC metal ½ (nm)
27
19
15
13
12
terreno de juego flash de ½ (nm)
18
14
12
11
10
Resist de espesor. (Nm, de una sola capa)
35-65
25-50
20-45
20-40
20-40
LWR baja frecuencia (nm, 3 sigma)
2.2
1.5
1.3
1.1
1.0
Defectos (# / cm 2)
0.02
0.01
0.01
0.01
0.01
•
DSA potencial solución litografía años
retos DSA
dirección emergente
patrones de densidad más alta (que litografía convencional)
Hasta 2 desarrollo del patrón proceso de multiplicación
Más pequeña dimensión crítica (de litografía convencional)
12 línea nm / espacio: PS- si- PMMA Sub-11 de la
línea nm / espacio: alta X BCP
rugosidad borde de la línea reducida (LER)
densidad de defectos
pre-patrón de problema de diseño DSA
tiempos de recocido
El recocido de diseño de procesos basado en la cinética de la termodinámica /
la selectividad de grabado
BCP Vertical morfología inorgánico-orgánico
diseño BCP híbrido
474
Hoy materiales Volumen 16, Número 12 diciembre 2013
[(Figure_9) TD $ FIG]
P2VP-b-PDMS
(a)
.
RESEARCH: Review
PS-b-PDMS
INVESTIGACIÓN
[(Figure_10) TD $ FIG]
FIGURA 9
Imágenes de SEM de (a) alta X PD- si- PDMS patrones de cilindros paralelos para proceso DSA sub-10 nm y (b)
9 nm anchura NWs [73] . imágenes de SEM de (c y d) P2VP- siPDMS patrones de 6 nm y 10 de línea / espacio nm, respectivamente [75] .
utilizando químicamente modi grafeno fi ed (CMG) [78,79] . Junto con el rápido avance de
los dispositivos flexibles y 3D fl, tecnología de litografía para no plana / flexible geometría
se está convirtiendo en crucial. No obstante, las tecnologías de nanolitografía, incluyendo
DSA, generalmente requieren spin-fundición de una capa uniforme de espesor orgánico,
exposición a la radiación fotolitográfico, y una etapa de proceso de recocido térmico /
químico, que son inherentemente incompatibles con una flexible y no plana geometría. En
este trabajo, CMG puede servir como un sustrato flexible desechable para transferir
estructura DSA en no plana y FL geometrías flexibles ( La Fig. 10 ). Después de todos los
pasos de procesamiento para DSA se completan a CMG películas en FL en geometría, la
totalidad de las estructuras estampadas están aislados del FL en geometría y se
transfieren a cualquier no plana / flexible geometría con el apoyo de robusta y fl CMG
flexible. Este enfoque también permite la repetición múltiple del proceso de DSA en el
mismo lugar, que puede ser explotada para la creación de estructuras multicapa
FIGURA 10
(A) Representación esquemática de un flexible / transferible proceso DSA utilizando químicamente modi
grafeno fi ed (CMG) [78,79] . imagen SEM de PS- vertical (b)
si- PMMA estructura laminar autoensamblada en CMG [78] . (C) Fotografía del array Au nanodot
formada sobre un sustrato flexible PDMS [79] . imágenes de SEM de (d) una red aleatoria de doble
capa Au nanoalambre y (e) un travesaño array Al NW por doble capa repiten DSA ortogonal [79] .
complejas, tales como matrices de nanohilos travesaño o matrices nanopost rectangulares.
Conclusiones y perspectivas
Figura 10 A ilustra esquemáticamente el procedimiento DSA multicapa. En primer lugar, las
Hemos revisado el progreso actual de la tecnología avanzada para DSA procesos
películas de CMG se preparan sobre un sustrato de Si por spin-fundición y reducción
prácticos fabricación del dispositivo. Dos principios DSA éxito de 'epitaxial autoensamblaje'
térmica / química. Un pre-patrón fotorresistente se forma sobre la CMG mediante litografía
y 'graphoepitaxy' han sido sinérgicamente integratedwith fotolitografía convencional a
línea I convencional. Graphoepitaxy de películas BCP y la posterior transferencia de patrón
través de esfuerzos de colaboración entre la industria y el mundo académico. Las ventajas
de productos de metal paralelo arrays NW. Después de este ciclo primero, CMG de
intrínsecas de BCP de autoensamblaje, incluyendo bajo costo de generación de patrones
película es spin-fundido sobre el fabricaron matrices NW. El segundo fotorresistente
espontánea, modelo precisión escala molecular, embalaje areal densa de nanodominios, y
patterningwas realizan en la fi CMG lmwith la orientación de trincheras ortogonal a las de
suavizar / reducir la anchura interfacial, oferta rentable de gran superficie nanoestampación
los NWs inferiores. La siguiente transferencia DSA y patrón completo las matrices de
escalable para Ultra fi nanopatrones ne orientado a dispositivos . En particular, el proceso
travesaño de metal NW. En este trabajo, CMG tiene las siguientes ventajas como un
de advancedDSA ya se da cuenta de la línea de proceso compatibles fab-300 para
sustrato flexible y transferible fl: (i) un atómicamente fl en la superficie CMG, permitiendo
mmsizewafers. Además esfuerzo de investigación de alta X materiales BCP, control de
uniformBCP formación LMS delgada fi y autoensamblaje robusto y (ii) térmicamente /
defecto perfecto, de transferencia de patrón eficaz y no plana / fl nanoestampación flexible
químicamente CMG estable que perdura duras tratamiento químico y de alta recocido
será crítico para la aplicación final de DSA a diversas situaciones de fabricación de
temperatura requerida para BCP autoensamblaje, y (iii) mecánicamente robusta pero
dispositivos comercial como una solución litografía de próxima generación.
flexible CMG que es fácilmente transferible a deseada flexible y no -planar sustratos. Un
mayor desarrollo de flexible / DSA transferibles ofrecerá nuevas aplicaciones de DSA para
diversas flexibles electrónica / optoelectrónica fl y arquitecturas de dispositivos 3D.
Reconocimiento
Este trabajo fue apoyado por el Instituto de Ciencias Básicas (SII) [CA1301-02].
475
RESEARCH
referencias
[1] Hoja de Ruta de Tecnología Internacional para Semiconductores (RTI) Edición 2012,
Asociación de la Industria de Semiconductores, San Jose, CA, 2012.
[2] FS Bates, GH Fredrickson, Annu. Rev. Phys. Chem. 41 (1990) 525.
[3] GH Fredrickson, FS Bates, Annu. Rev. Phys. Chem. 26 (1996) 501.
[4] FS Bates, GH Fredrickson, Phys. Hoy 52 (1999) 32.
[5] CJ Hawker, TP Russell, MRS Bull. 4 (2005) 19.
[6] JK Kim, et al. Prog. Polym. Sci. 35 (2010) 1325.
[7] M. Park, et al. Science 276 (1997) 1401.
[8] C. Negro, et al. IBM J. Res. Prog. 51 (2007) 605.
[9] S.-J. Jeong, et al. Adv. Mater. 20 (2008) 1898.
RESEARCH: Review
[10] G. Xia, et al. Nanotechnology 20 (2009) 225.
[11] DH Lee, et al. Adv. Mater. 20 (2008) 2480.
[12] BH Kim, et al. Adv. Mater. 23 (2011) 5618.
[13] BH Kim, et al. Soft Matter 9 (2013) 2780.
[14] C. Tang, et al. Ciencia 322 (2008) 429.
[15] T. Thurn-Albrecht, et al. Ciencia 290 (2000) 2126.
[dieciséis] SO Kim, et al. Naturaleza 424 (2003) 411.
[17] MP Stoykovich, Ciencia 308 (2005) 1442.
[18] SO Kim, et al. Adv. Mater. 19 (2007) 3271.
[19] R. Ruiz, et al. Ciencia 321 (2008) 936.
[20] RA Segalman, et al. Adv. Mater. 13 (2001) 1152.
[21] D. Sundrani, et al. Nano Lett. 4 (2004) 273.
[22] JY Cheng, et al. Nat. Mater. 3 (2004) 823.
[23] S.-M. Park, et al. Adv. Mater. 19 (2007) 607.
[24] R. Ruiz, et al. Adv. Mater. 19 (2007) 2157.
[25] I. Bita, et al. Ciencia 321 (2008) 939.
[26] JKW Yang, Nat. Nanotechnol. 5 (2010) 256.
[27] BH Kim, et al. Adv. Mater. 20 (2008) 2303.
[28] BH Kim, et al. Adv. Func. Mater. 19 (2009) 2584.
[29] DO Shin, et al. Macromoléculas 42 (2009) 1189.
[30] L. Rockford, et al. Phys. Rev. Lett. 82 (1999) 2602.
[31] S. Tedesco, et al, programa ideal:. DSA Actividad en LETI. Sokudo Litografía
Desayuno (11 de julio de 2012).
[32] Y. Hishiro, et al., Aplicaciones de DSA para litografía. Sokudo Litografía
Desayuno (11 de julio de 2012). [33] B. Rathsack, et al. Proc. SPIE 8323
(2012) 83230B-1.
[34] C. Bencher, et al. Proc. SPIE 7970 (2011) 79700F.
[35] Sokudo DUO, '' libre de defectos '' DSA Patrones girar-en el Escudo y Recocido Pista,
Sokudo Litografía desayuno (11 de julio de 2012). [36] S. Kim, et al.
Pequeño 8 (2012) 1563.
[37] M. Lazzari, et al. Adv. Mater. 15 (2003) 1583.
476
Materials Today Volume 16, Number 12 December 2013
[38] C. Park, et al. Polymer 44 (2003) 6725.
[39] R. Segalman, et al. Mater. Sci. Ing. R 48 (2005) 191.
[40] M. Stoykovich, P. Nealey, Mater. Hoy 9 (2006) 20.
[41] J. Cheng, et al. Adv. Mater. 18 (2006) 2505.
[42] SB de Darling, Prog. Polym. Sci. 32 (2007) 1152.
[43] CT Negro, et al. ACS Nano 1 (2007) 147.
[44] N. Zhihong, K. Eugenia, Nat. Mater. 7 (2008) 277.
[45] H. Kim, WD Hinsberg, J. Vac. Sci. Technol. 26 (2008) 1369.
[46] J. Bang, et al. Adv. Mater. 21 (2009) 1.
[47] IW Hamley, Prog. Polym. Sci. 34 (2009) 1161.
[48] W. van Zoelen, G. ten Brinke, Soft Matter 5 (2009) 1568.
[49] H. Yu, et al. Adv. Mater. 23 (2011) 3337.
[50] FH Schacher, et al. Angew. Chem. En t. Ed. 51 (2012) 7898.
[51] CG Hardy, C. Tang, J. Polym. Sci. B: Polym. Phys. 51 (2013) 2.
[52] M. Ramanathan, et al. J. Mater. Chem. C 1 (2013) 2080.
[53] SH Park, et al. Soft Matter 6 (2010) 120.
[54] J. Cheng, et al. ACS Nano 4 (2010) 4815.
[55] CC Liu, et al. J. Vac. Sci. Technol. B (2010) 28.
[56] M. Somervell, et al. SPIE Proc. 8325 (2012) 83251G-1.
[57] S.-J. Jeong, et al. Nano Lett. 9 (2009) 2300.
[58] S.-J. Jeong, et al. Nano Lett. 10 (2010) 3500.
[59] SA Luna, et al. J. Mater. Chem. 22 (2012) 6307.
[60] S.-J. Jeong, et al. ACS Nano 4 (2010) 5181.
[61] S.-J. Jeong, SO Kim, J. Mater. Chem. 21 (2011) 5856.
[62] JG Maltabes, et al. Proc. SPIE 1262 (1990) 2.
[63] S. Tarutani, et al. Proc. SPIE 6923 (2008) 69230F-1-8.
[64] S. Tarutani, et al. J. Photopolym. Sci. Technol. 21 (2008) 685.
[sesenta y cinco] H. Ito, Adv. Polym. Sci. 172 (2005) 37.
[66] H. Yi, et al. Adv. Mater. 24 (2012) 3107.
[67] T. Nose, Polymer 36 (1995) 2243.
[68] TP Russell, Macromolecules 23 (1990) 890.
[69] S. Park, et al. Ciencia 323 (2009) 1030.
[70] YS Jung, et al. Nano Lett. 7 (2007) 2046.
[71] YS Jung, et al. Nano Lett. 8 (2008) 2975.
[72] YS Jung, et al. Adv. Mater. 21 (2009) 2540.
[73] YS Jung, et al. Nano Lett. 10 (2010) 1000.
[74] VP Chuang, et al. ACS Nano 22007 (2008) 2014.
[75] JW Jeong, et al. Nano Lett. 11 (2011) 4095.
[76] AS Zalusky, et al. Mermelada. Chem. Soc. 124 (2002) 12761.
[77] T. Hirai, et al. Macromoléculas 42 (2009) 8835.
[78] BH Kim, et al. ACS Nano 4 (2010) 5464.
[79] J.Y. Kim, et al. Adv. Mater. 25 (2013) 1331.
Descargar