1.Diseñe un contador ascendente/descendente de núme si está en

Anuncio
Facultad de
Ingenierías
Físico
Mecánicas
Escuela de Ingenierías
Eléctrica, Electrónica y de
Telecomunicaciones
SISTEMAS DIGITALES 23357, Taller de Máquinas de estado (Modificado para la habilitación)
1. Diseñe un contador ascendente/descendente de números pares. El contador tendrá una señal B, que
si está en uno hará que el contador cuente de manera ascendente y si esta en cero lo hará de manera
descendente. Adicionalmente el sistema tendrá una entrada R asíncrona, que mantendrá al contador en
ceros mientras este se mantenga en 1.
El diseño debe contener:
 Diagrama de estados tipo MOORE.
 Descripción en VHDL
 Implementación en el sistema de desarrollo X-board de Xilinx.
 El contador se debe visualizar en dos display 7 segmentos y la frecuencia del conteo debe ser
de un segundo.
NOTA:
 Cuenta con relojes de 10kHz, 100KHz o 1MHz.
 Debe usar un antirebote para cada pulsador o interruptor que use para que el sistema funcione
correctamente.
 Tenga en cuenta que los displays de 7 segmentos que posee el sistema de desarrollo X-board
necesitan ser multiplexados ya que sólo cuentan con 8 señales (no catorce), es decir debe
mostrar digito por digito a una velocidad tal que el ojo humano no perciba la intermitencia (se
sugiere que cada digito dure encendido en 1 y 8 milisegundos)
2. Una lámpara dispone de dos bombillas, una de 40 W y otra de 60 W. La finalidad de poner dos
bombillas es la de poder regular el nivel de luminosidad, encendiendo o bien una de ellas o bien las dos a
la vez, para conseguir una potencia total de 100 W. Para controlar la lampara usted debe diseñar una
máquina de estados síncrona. Se dispone de 2 botones, denominados + y -. Cuando se conecte la
alimentación la lampara permanecerá apagada. Cada pulsación del botón + irá aumentando la
luminosidad de la lampara y cada pulsación del botón - la decrementará. La secuencia de
encendido/apagado de las lámparas será 40 W, 60 W y 100W. Así, la primera vez que se pulse el botón +
se encenderá sólo la bombilla de 40 W, la segunda vez que se pulse + se encenderá sólo la bombilla de
60 W y la siguiente pulsación del botón + encenderá las dos bombillas. Si se sigue pulsando el botíon +
no ocurrirá nada. De la misma forma las pulsaciones del botón - irán bajando la luminosidad y si una vez
apagadas las dos bombillas se sigue pulsando el botón - no pasará nada. Si se pulsan ambos botones a
la vez la luminosidad no cambiará. El reloj es tan rápido que es imposible una transición instantánea de
ambos pulsadores.
El diseño debe contener:
 Diagrama de estados tipo MOORE.
 Descripción en VHDL
 Implementación en el sistema de desarrollo X-board de Xilinx.
 Los dos bombillos se pueden simular por dos leds del sistema de desarrollo.
NOTA:


Cuenta con relojes de 10kHz, 100KHz o 1MHz
Debe usar un antirebote para cada pulsador o interruptor que use para que el sistema funcione
correctamente.
1
Descargar