Investigación respecto de los desbalances de tensiones en los

Anuncio
PONTIFICIA UNIVERSIDAD CATÓLICA DE VALPARAÍSO – CHILE
ESCUELA DE INGENIERÍA ELÉCTRICA
INVESTIGACIÓN DE LOS DESBALANCES DE TENSIONES EN LOS
CONDENSADORES Y ESFUERZOS DE TENSIÓN-CORRIENTE EN LOS
COMPONENTES DEL INVERSOR MULTINIVEL HÍBRIDO SIMÉTRICO
FELIPE FILSECKER DIEZ
INFORME FINAL DEL PROYECTO
PRESENTADO EN CUMPLIMIENTO
DE LOS REQUISITOS PARA OPTAR
AL
TÍTULO
PROFESIONAL
INGENIERO CIVIL ELÉCTRICO
Abril de 2009
DE
INVESTIGACIÓN DE LOS DESBALANCES DE TENSIONES EN LOS
CONDENSADORES Y ESFUERZOS DE TENSIÓN-CORRIENTE EN LOS
COMPONENTES DEL INVERSOR MULTINIVEL HÍBRIDO SIMÉTRICO
INFORME FINAL
Presentado en cumplimiento de los requisitos
para optar al título profesional de
Ingeniero Civil Eléctrico
otorgado por la
Escuela de Ingeniería Eléctrica
de la
Pontificia Universidad Católica de Valparaíso
Felipe Filsecker Diez
Profesor Guía
Profesor Correferente
Profesor Correferente
Sr. Domingo Ruiz Caballero
Sr. Reynaldo Ramos Astudillo
Sr. René Sanhueza Robles
Abril de 2009
ACTA DE APROBACIÓN
La Comisión Calificadora designada por la Escuela de Ingeniería Eléctrica ha aprobado el texto del Informe Final del Proyecto de Titulación, desarrollado entre el
segundo semestre de 2007 y el primer semestre de 2008, y denominado
INVESTIGACIÓN DE LOS DESBALANCES DE TENSIONES EN LOS
CONDENSADORES Y ESFUERZOS DE TENSIÓN-CORRIENTE EN LOS
COMPONENTES DEL INVERSOR MULTINIVEL HÍBRIDO SIMÉTRICO
Presentado por el Señor
Felipe Filsecker Diez
Domingo Ruiz Caballero
Profesor Guía
Reynaldo Ramos Astudillo
Segundo Revisor
Raimundo Villarroel Valencia
Secretario Académico
Valparaíso, abril de 2009
A Laura Damián
INVESTIGACIÓN DE LOS DESBALANCES DE TENSIONES EN LOS
CONDENSADORES Y ESFUERZOS DE TENSIÓN-CORRIENTE EN LOS
COMPONENTES DEL INVERSOR MULTINIVEL HÍBRIDO SIMÉTRICO
Felipe Filsecker Diez
Profesor Guía Sr. Domingo Ruiz Caballero
RESUMEN
En este proyecto de título se presenta un completo estudio del inversor multinivel híbrido simétrico desarrollado en el LEP-PUCV. Primero se presenta una
descripción del inversor, a continuación se analizan los esfuerzos de tensión y corriente presentes en cada uno de sus componentes, derivando las expresiones
analíticas que permiten estimarlos. Luego se analiza la problemática del balance
en el enlace continuo, que incluye una explicación teórica del problema, simulaciones digitales y resultados experimentales. Esto se ve complementado con un análisis de las pérdidas en el inversor, las que son calculadas a través de expresiones
aproximadas, como también a través de métodos numéricos de mayor exactitud.
Finalmente, se analiza el comportamiento del inversor en contraste con otros modelos vigentes actualmente y se extraen conclusiones acerca de sus ventajas y
desventajas.
v
ÍNDICE GENERAL
1. PRESENTACIÓN DEL INVERSOR
1.1. CÉLULA DE TRES NIVELES CT
1.2. INVERSOR MONOFÁSICO PUENTE COMPLETO IH1ΦFB-CT
1.2.1. Topología
1.2.2. Estrategia de modulación
1.2.3. Forma de onda y espectro armónico
1.3. INVERSOR TRIFÁSICO PUENTE COMPLETO IH3ΦFB-CT
1.3.1. Topología
1.3.2. Estrategia de modulación
1.3.3. Formas de onda y espectro armónico
1.4. GENERALIZACIÓN DEL IHFB
1.5. CONCLUSIONES
3
3
3
3
6
7
9
9
11
11
12
16
2. ESFUERZOS DE TENSIÓN-CORRIENTE
2.1. INTRODUCCIÓN
2.2. ESFUERZOS DE TENSIÓN
2.2.1. Análisis teórico
2.2.2. Resultados Experimentales
2.3. ESFUERZOS DE CORRIENTE
2.3.1. Aspectos generales
2.3.1.1. Corriente ideal en la carga
2.3.1.2. Definiciones de corriente media y efectiva
2.3.2. Cálculos analíticos
2.3.2.1. Célula CT
2.3.2.2. Puente H
2.4. DIMENSIONAMIENTO DE LOS CONDENSADORES
2.5. CONCLUSIONES
18
18
18
18
20
20
20
21
21
23
23
23
25
28
3. ESTUDIO DEL BALANCE DEL ENLACE CC
3.1. INTRODUCCIÓN
3.2. REVISIÓN BIBLIOGRÁFICA
3.2.1. Lazo abierto
3.2.2. Lazo cerrado
3.2.2.1. PWM basado en portadora
3.2.2.2. PWM vectorial espacial
3.2.3. Circuitos adicionales
3.2.4. Otros estudios
3.3. ANÁLISIS TEÓRICO
3.4. SIMULACIONES DIGITALES
3.4.1. Metodología
3.4.2. Resultados
29
29
30
30
32
32
32
33
33
34
36
37
39
vi
3.4.2.1. Forma de onda de la tensión de salida
3.4.2.2. Variación de fsw
3.4.2.3. Variación de otros parámetros
3.4.3. Conclusiones
3.5. RESULTADOS EXPERIMENTALES
3.5.1. Variación de la frecuencia de conmutación fsw
3.5.2. Variación del índice de modulación ma
3.5.3. Capacitancia desigual
3.6. CONCLUSIONES
39
39
43
45
45
45
46
46
51
4. ESTUDIO DE LAS PÉRDIDAS
4.1. PÉRDIDAS DE CONMUTACIÓN
4.1.1. Interruptores (CT)
4.1.2. Diodos en antiparalelo (CT)
4.1.3. Puente H
4.1.4. Pérdidas de conmutación totales
4.1.5. Gráficos
4.2. PÉRDIDAS DE CONDUCCIÓN
4.2.1. Pérdidas de conducción totales
4.2.2. Gráficos
4.3. PÉRDIDAS TOTALES
4.4. MODELO DE PÉRDIDAS NUMÉRICO
4.4.1. Modelo de los módulos IGBT/Diodo
4.4.2. Cálculo de pérdidas
4.5. COMPARACIÓN MÉTODO ANALÍTICO Y NUMÉRICO
4.6. CONCLUSIONES
53
53
53
57
57
58
58
59
62
62
62
64
66
67
68
68
5. ANÁLISIS COMPARATIVO DEL INVERSOR
5.1. PRESENTACIÓN DE LAS TOPOLOGÍAS
5.1.1. Diode Clamped (DCL VSC)
5.1.2. Condensador Flotante (FLC VSC)
5.1.3. Puente H de 2 niveles conectado en serie (SC2LHB VSC)
5.1.4. Puente H NPC (NPCHB VSC)
5.2. NÚMERO DE COMPONENTES
5.3. USO DE LOS SEMICONDUCTORES Y DISTRIBUCIÓN DE PÉRDIDAS
5.3.1. Metodología
5.3.1.1. Modulación con inyección de tercera armónica
5.3.1.2. Modelo de pérdidas
5.3.1.3. Corriente nominal ideal
5.3.1.4. Cálculo térmico
5.3.1.5. Potencia de Interruptores Instalada
5.3.2. Resultados
5.4. CONCLUSIONES
71
71
71
71
74
74
75
77
77
78
78
78
80
80
81
86
CONCLUSIONES
89
APÉNDICE A: SIMULACIONES ADICIONALES PARA EL ESTUDIO DEL BALANCE A-1
vii
APÉNDICE B: CIRCUITOS DE BALANCE PARA CONEXIÓN DE SEMICONDUCTORES EN SERIE
B-1
APÉNDICE C: ALGORITMO PARA CÁLCULO DE PÉRDIDAS
C-1
APÉNDICE D: MODELOS DE SEMICONDUCTORES
D-1
viii
GLOSARIO DE TÉRMINOS
VARIABLES
φ
∆Vcap
ωt0
ωt1
Cx
Dn
D(t)
DX
E
Eoff
Eon
Er ec
f1C b
fsin
fsw
FP
Iav g
iC
IC ,n
IC ,nom
Icap
iL
iph
Ir ms
ix
ÎL
Ir ef
L
Lll
ma
mf
N
SX
T
Tj,max
Tsw
vxy
Ángulo de carga
Ondulación de tensión en los capacitores del enlace continuo
Límite de integración inferior en las pérdidas de conducción
Límite de integración superior en las pérdidas de conducción
Capacitancia del condensador x del enlace continuo
Razón cíclica del n-ésimo periodo, duty cycle
Función de modulación, describe la variación de Dn en función de t
Diodo en antiparalelo asociado al interruptor X
Tensión aplicada a cada condensador del enlace continuo (E = Vdc /2)
Energía disipada durante el apagado de un interruptor
Energía disipada durante el encendido de un interruptor
Energía disipada durante el apagado de un diodo (recuperación inversa)
Frecuencia en torno a la cual se centra la primera banda portadora del
contenido armónico
Frecuencia de la moduladora
Frecuencia de conmutación
Factor de potencia cos φ
Corriente media
Corriente de colector
Corriente nominal ideal
Corriente nominal de la hoja de datos
Corriente efectiva a través de los capacitores del enlace continuo
Corriente en la carga
Corriente de línea
Corriente efectiva
Corriente en el nivel x del enlace contínuo, donde x = {1,2,3}
Amplitud de la componente fundamental de la corriente en la carga (por
fase)
Corriente de referencia utilizada en las pruebas de encendido y apagado
Número de niveles de la tensión de fase
Número de niveles de la tensión de línea
Índice de modulación
Índice de frecuencia mf = fsw /fsin
Numero de conmutaciones por un ciclo de la fundamental (N = mf )
Interruptor X, también indica estado del mismo (1 encendido, 0 apagado)
Periodo de la fundamental (1/fsin ))
Temperatura de juntura (junction) máx. admisible
Periodo de conmutación
Tensión instantanea entre los terminales x-y
ix
VC E
Vdc
VLL
Vm
VT 1 , VT 2
Vr ef
Tensión aplicada entre colector y emisor
Tensión continua aplicada en el enlace continuo
Tensión de línea
Señal moduladora sinusoidal para PWM
Señales portadoras triangulares para PWM
Tensión de referencia utilizada en las pruebas de encendido y apagado
SIGLAS
CC
CT
DCL
ESR
FLC
IGBT
IGCT
IH1ΦFB-CT
IH3ΦFB-CT
IHFBCT
IHFB
LEP
LKC
NPC
NPCHB
OP
PN
PS
PD
POD
PWM
SC2LHB
SPWM
SVPWM
THD
VSC
Corriente Continua
Célula de 3 niveles
Fijado por diodos (Diode Clamped)
Resistencia Serie Equivalente (Equivalent Series Resistance)
Condensador Flotante (Flying Capacitor)
Insulated Gate Bipolar Transistor
Integrated Gate Commutated Thyristor
Inversor Híbrido Monofásico Puente Completo basado en la CT
Inversor Híbrido Trifásico Puente Completo basado en la CT
Abreviación de IH3ΦFB-CT
Inversor Híbrido Puente Completo
Laboratorio de Electrónica de Potencia
Ley de Kirchhoff de Corriente
Fijado al punto neutro (Neutral Point Clamped)
Puente H basado en NPC
Punto de Operación (Operation Point)
Punto Neutro
Desplazamiento de fase (Phase Shift)
Disposición de fase (Phase Disposition)
Disposición de oposición de fase (Phase Opposition Disposition)
Modulación por ancho de pulso (Pulse Width Modulation)
Puente H de 2 Niveles Conectado en Serie
Modulación por ancho de pulso sinusoidal
Modulación por ancho de pulso vectorial espacial (Space Vector PWM)
Distorsión Armónica Total (Total Harmonic Distortion)
Convertidor alimentado en tensión (Voltage Source Converter)
x
ÍNDICE DE FIGURAS
1.1. Célula CT
1.2. Forma de onda de la tensión vxy para la célula CT
1.3. Estados de conmutación para la CT
1.4. Inversor híbrido monofásico puente completo IH1ΦFB-CT
1.5. Forma de onda en los terminales de la CT y del puente H en el IH1ΦFB-CT
1.6. Esquema para la generación de los pulsos de comando de los interruptores
1.7. Generación de los pulsos de comando de los interruptores (mf = 7)
1.8. Forma de onda y espectro armónico de la tensión vab (mf = 27, ma = 1)
1.9. Inversor híbrido trifásico puente completo IH3ΦFB-CT
1.10. Circuito equivalente del IH3ΦFB-CT
1.11. Forma de onda de las tensiones de salida del inversor trifásico (mf = 27,
ma = 1)
1.12. Espectro armónico de las tensiones de salida del inversor trifásico
1.13. Generalización del IHFB
1.14. Diagrama de la generación de los pulsos de comando para el IHFB generalizado
1.15. Inversor Híbrido Puente Completo para L=9 (9L-IHFB)
1.16. Tensiones de línea del 9L-IHFB para dos tipos de modulación
1.17. Diferentes tipos de modulación utilizados en el 9L-IHFB (ma =1, fsw =750Hz)
14
15
15
16
2.1.
2.2.
2.3.
2.4.
2.5.
19
21
24
25
27
Definición de parámetros de tensión para semiconductores
Mediciones de tensión experimentales (CH4:S6 / CH2:S5 / CH1:S2 / CH3:S4 )
Definición de los límites de integración para la corriente
Corrientes en el puente H
Ondulación de corriente normalizada en los condensadores icap,pu
3.1. Clasificación de métodos para el balance del punto neutro.
3.2. Definición de variables para el inversor monofásico
3.3. Efecto del paso de simulación en los resultados
3.4. Tensión de línea y en los condensadores
3.5. Balance en el enlace CC para diferentes fsw (monofásico)
3.6. Balance en el enlace CC para diferentes fsw (monofásico)
3.7. Balance en el enlace CC para diferentes fsw (trifásico)
3.8. Balance en el enlace CC para diferentes fsw (trifásico)
3.9. Valor medio instantáneo de la corriente i1 en el inversor monofásico
3.10. Influencia del paso de simulación en los casos de desbalance
3.11. Esquema de la conexión del inversor para los ensayos
3.12. Variación de fsw
3.12. Variación de fsw
3.13. Variación de ma
3.13. Variación de ma
4
4
5
6
7
8
8
9
10
10
11
12
13
31
34
38
39
40
41
41
42
43
44
46
47
48
49
50
xi
3.14. Capacitancia diferente
52
4.1. Encendido y apagado en un IGBT
4.2. Energías de conmutación para el IGBT SKM 600GB123D
4.3. Corriente de fase iph y corriente a través del interruptor S1 y su diodo
4.4. Efecto de recuperación inversa
4.5. Pérdidas de conmutación por dispositivo
4.6. Pérdidas de conmutación totales (inversor trifásico)
4.7. Pérdidas de conducción por dispositivo
4.8. Pérdidas de conducción totales (inversor trifásico)
4.9. Pérdidas totales por dispositivo
4.10. Pérdidas totales (inversor trifásico)
4.11. Aproximación de las características a través de ajuste de primer orden
4.12. Comparación método analítico y numérico para cálculo de pérdidas
53
54
55
58
59
60
63
64
65
66
67
69
5.1.
5.2.
5.3.
5.4.
5.5.
5.6.
5.7.
5.8.
72
73
74
75
77
79
80
Topología Diode Clamped en 3 y 5 niveles (DCL VSC)
Topología Condensador Flotante en 3 y 5 niveles (FLC VSC)
Topología Puente H de 2 niveles conectado en serie (5L)
Topologia de puente H NPC (NPCHB VSC)
Número de componentes para topologías de 5 niveles
Explicación gráfica del factor de corriente para el caso cf =2
Modelo para cálculos térmicos
Potencia de interruptores instalada relativa para diferentes tensiones de salida y frecuencias de conmutación
5.9. Distribución de las pérdidas
87
88
A.1. Simulaciones del desbalance en enlace CC
A-2
B.1. Circuitos pasivos para balance estático y dinámico
B-3
xii
ÍNDICE DE TABLAS
1.1. Secuencia de encendido para los interruptores de la célula CT
1.2. Secuencia de encendido para los interruptores del puente H lento
1.3. Detalle de la modulación PS y PS+PD
3
6
16
2.1.
2.2.
2.3.
2.4.
2.5.
20
24
24
26
26
Tensión VDRM para diferentes niveles de media tensión
Variables para los cálculos de corriente de la CT
Esfuerzos de corriente en los componentes de la CT
Variables en el puente H según corriente en S/D5 y S/D8
Esfuerzos de corriente en los componentes del puente H
3.1. Datos de proyecto para simulacion (fsw variable)
3.2. Parámetros del prototipo del inversor para los ensayos
40
45
4.1. Límites de integración
4.2. Parámetros SKM 600GB123D (pérdidas conmutación)
4.3. Parámetros módulo EUPEC FZ600R17KE3 (pérdidas de conducción)
56
59
62
5.1.
5.2.
5.3.
5.4.
77
80
84
85
Número de componentes para topologías de 5 niveles
Puntos de operación críticos para el cálculo de la corriente nominal ideal IC ,n
Comparación de la utilización de los semiconductores
Comparación de la utilización de los semiconductores (cont.)
INTRODUCCIÓN
En el último tiempo el desarrollo de nuevas tecnologías de semiconductores
de potencia (IGBTs e IGCTs principalmente) ha ayudado a la consolidación de
topologías multinivel para aplicaciones de media tensión. Los convertidores multinivel pueden trabajar con niveles de tensión más altos que los convencionales
de 2 niveles, pues la tensión que debe soportar cada dispositivo individualmente
corresponde a una fracción de la tensión total. Esto se logra a través de bancos
de condesadores conectados en serie (enlace continuo) o con transformadores de
múltiples salidas aisladas. El mercado actual se encuentra dominado por 2 topologías principalmente –Neutral Point Clamped (NPC) y Puente H Conectado en
Serie (SC2LHB), ver sec. 5.1–, pero a nivel experimental existen muchas más.
Una de ellas es el inversor híbrido multinivel simétrico presentado en [1] y [2], del
cual hasta el momento sólo se contaba con un análisis del espectro armónico.
Esta tesis busca profundizar en el conocimiento de este inversor. Temas como las
pérdidas, el balance del enlace CC y las limitaciones térmicas del convertidor, analizadas con respecto a otras topologías conocidas, son los principales aportes aquí
expuestos. El trabajo se organiza de la siguiente manera:
En el primer capítulo se presenta la topología multinivel objeto de este estudio,
explicando la síntesis de los diferentes niveles de tensión y su estrategia de modulación. Se exponen las formas de onda de tensión junto con su espectro armónico.
En la parte final se propone una generalización del convertidor, que permite escalar la topología original a cuantos niveles se deseen. Se acompaña de 2 posibles
métodos para su modulación.
El segundo capítulo muestra los esfuerzos de tensión y de corriente que deben
soportar los componentes del convertidor, además de la determinación de una
expresión que facilita el dimensionamiento de los condensadores del enlace CC.
En el tercer capitulo se aborda el tema del balance de los condensadores del
enlace continuo, que ha sido un tema ampliamente discutido para otras topologías
como la NPC. Se realiza un análisis teórico, luego se complementa con simulaciones digitales y finalmente se muestran los resultados de mediciones realizadas en
un prototipo del inversor.
El cuarto capítulo corresponde al análisis de pérdidas en el convertidor. Prime-
2
ro, a través de simplificaciones, se obtienen expresiones analíticas que determinan las pérdidas en cada uno de los componentes. Luego se muestra un método
numérico que permite calcular las pérdidas con mayor exactitud, basándose en
modelos más detallados de los semiconductores y aprovechando la capacidad de
cálculo de los computadores personales actuales. Ambos métodos son finalmente
comparados.
Finalmente, en el último capítulo se expone un análisis comparativo del inversor, tomando en cuenta otras topologías multinivel pensadas para aplicaciones de
media tensión. Se incluye una comparación basada en el número de componentes
para topologías de 5 niveles y otra basada en la utilización de los semiconductores
y la distribución de las pérdidas.
CAPÍTULO 1
PRESENTACIÓN DEL INVERSOR
1.1.
CÉLULA DE TRES NIVELES CT
En la tesis de R. Ramos [1], resumida en el artículo [2], se toma como unidad
base para formar la familia de inversores multinivel híbridos la célula CT (en proceso de patente [3]) mostrada en la figura 1.1. Si la tensión CC que la alimenta es
Vdc = 2E y consideramos la tensión en los condensadores constante y simétrica,
entonces se cumple que
vC 1 = vC 2 = Vdc /2 = E
(1.1)
Si accionamos los diferentes interruptores de la manera mostrada en la tabla
1.1 a través de un pulso único, entonces se obtendrá en los terminales x-y una
tensión con 3 niveles, como se detalla en la figura 1.2. Un detalle de los diferentes
estados de conmutación de la célula CT se muestra en la figura 1.3.
1.2.
INVERSOR MONOFÁSICO PUENTE COMPLETO IH1ΦFB-CT
1.2.1.
Topología
Si al circuito de la figura 1.1 se le agrega en sus terminales x-y un arreglo de
interruptores en forma de puente H como se ejemplifica en la figura 1.4, es posible
Tabla 1.1: Secuencia de encendido para los interruptores de la célula CT
Secuencia
1
2
3
4
5
S1
S2
S3
S4
OFF ON ON OFF
ON OFF ON OFF
ON OFF OFF ON
OFF ON OFF ON
OFF ON ON OFF
vxy
0
E
2E
E
0
4
S1
C1
x
S2
Vdc
S3
y
C2
S4
Figura 1.1: Célula CT
vxy
2E
S1 S4
E
S1 S3
S 2 S3
S2 S4
S 2 S3
t
Figura 1.2: Forma de onda de la tensión vxy para la célula CT
5
S1
S1
C1
x
C1
x
S2
S2
S3
S3
y
C2
y
C2
S4
S4
(a)
(b)
S1
S1
C1
x
C1
x
S2
S2
S3
S3
y
C2
S4
y
C2
S4
(c)
(d)
Figura 1.3: Estados de conmutación para la CT
6
S1
x
C1
S2
S5
S7
b
Vdc
S3
C2
carga
a
S6
S8
y
S4
Figura 1.4: Inversor híbrido monofásico puente completo IH1ΦFB-CT
Tabla 1.2: Secuencia de encendido para los interruptores del puente H lento
Intervalo
S5 S8
S6 S7
vab
1er semiperiodo
2o semiperiodo
OFF
ON
ON
OFF
vxy
−vxy
invertir la forma de onda de salida de la célula CT para que en los terminales a-b
del puente H la forma de onda de tensión asemeje una sinusoide. De esta manera,
se obtiene el inversor híbrido monofásico puente completo, denominado IH1ΦFBCT. El modo de accionamiento de los interruptores del puente H, junto con la forma
de onda resultante se describen en la tabla 1.2 y en la figura 1.5, respectivamente.
De esta manera queda explicada la topología del inversor multinivel híbrido monofásico en configuración de puente completo y la síntesis de los diferentes niveles
de tensión. A continuación se tratará la estrategia de modulación relacionada con
este circuito.
1.2.2.
Estrategia de modulación
La modulación para este inversor consta de 2 partes. Una se ocupa de comandar los interruptores rápidos ubicados en la CT (S1−4 ), mientras que la otra acciona
los interruptores lentos del puente H (S5−8 ). El diagrama para la generación de
los pulsos de comando del inversor se presenta en la figura 1.6. En ella se aprecia cómo se utiliza la técnica de PWM sinusoidal para modular los interruptores
1-4, donde una señal moduladora sinusoidal Vm es comparada con 2 portadoras
7
vxy
2E
E
t
vab
2E
E
S6 S7
S5 S8
-E
t
-2E
Figura 1.5: Forma de onda en los terminales de la CT y del puente H en el IH1ΦFBCT
triangulares Vtx , a mayor frecuencia (fsw ) y desplazadas 180 grados entre sí, para obtener los pulsos de comando. Dentro de la modulación PWM se definen los
índices de modulación ma y de frecuencia mf de la siguiente manera:
ma =
V̂m
V̂t
fsw
mf =
fsin
(1.2)
(1.3)
donde fsin es la frecuencia de la señal moduladora sinusoidal Vm y V̂t representa
el valor peak de las señales portadoras triangulares.
Los interruptores del puente H son modulados por un pulso único que resulta
de la comparación de la señal sinusoidal con un nivel de tensión cero. El detalle de
las formas de onda y el resultado de la comparación se muestran en la figura 1.7.
1.2.3.
Forma de onda y espectro armónico
La forma de onda de tensión vab y su espectro armónico se muestran en la figura 1.8, ambos normalizados con respecto a Vdc . En la forma de onda de la tensión
se pueden apreciar los 5 niveles de tensión mencionados anteriormente (2E , E , 0,
−E , −2E ), pero esta vez con los pulsos generados a través de la modulación PWM
8
S1
+
abs
S2
−
Vt1
S3
+
S4
−
Vt2
S5 S8
+
S6 S7
−
Vm
Figura 1.6: Esquema para la generación de los pulsos de comando de los interruptores
Vt1
Vt2
|Vm|
1
0.5
0
S1
0
S2
S3
0
S4
S5−S8
0
S6−S7
0
2
4
6
8
10
t [ms]
12
14
16
18
20
Figura 1.7: Generación de los pulsos de comando de los interruptores (mf = 7)
9
1
vab / Vdc
0.5
0
−0.5
−1
0
2
4
6
8
10
t [ms]
12
14
16
18
20
0
Magnitud norm. (pu)
10
Vab,h
Vdc
−1
10
−2
10
−3
10
1
mf
2mf
3mf
Orden (h)
4mf
5mf
6mf
Figura 1.8: Forma de onda y espectro armónico de la tensión vab
(mf = 27, ma = 1)
sinusoidal. En el espectro de frecuencia se observa que los primeros armónicos
aparecen en un grupo centrado en 2mf , es decir, están determinados por el doble
de la frecuencia de conmutación de los interruptores rápidos. El THD1 calculado
para vab es de 27,66 %.
1.3.
1.3.1.
INVERSOR TRIFÁSICO PUENTE COMPLETO IH3ΦFB-CT
Topología
La extensión trifásica del inversor expuesto en la sección 1.2 se muestra en
la figura 1.9. Se compone de tres inversores monofásicos conectados en estrella,
que generan una tensión en la salida con 120◦ de desfase entre ellas, como se
observa en el circuito equivalente de la figura 1.10.
1
Total Harmonic Distortion: Distorsión Armónica Total. En este trabajo se considera para su
cálculo hasta los 500 kHz
10
u
v
o
n
w
Vdc
2
Vdc
2
Figura 1.9: Inversor híbrido trifásico puente completo IH3ΦFB-CT
- vuo(t) +
- vvo(t) +
o
- vwo(t) +
u
+ vun(t) -
v
+ vvn(t) -
w
+ vwn(t) -
n
Figura 1.10: Circuito equivalente del IH3ΦFB-CT
11
2
vuv / Vdc
1
0
−1
−2
0
2
4
6
8
10
12
14
16
18
20
2
4
6
8
10
t [ms]
12
14
16
18
20
1
vun / Vdc
0.5
0
−0.5
−1
0
Figura 1.11: Forma de onda de las tensiones de salida del inversor trifásico
(mf = 27, ma = 1)
1.3.2.
Estrategia de modulación
La estrategia de modulación es idéntica a la propuesta para el inversor monofásico, con la única salvedad que en vez de una señal sinusoidal moduladora Vm ,
existen tres, todas ellas desfasadas 120◦ entre sí.
1.3.3.
Formas de onda y espectro armónico
Las formas de onda de la tensión de línea y de fase del inversor trifásico, junto
con su espectro armónico se presentan en las figuras 1.11 y 1.12, respectivamente.
En la forma de onda de la tensión de línea se aprecia como ésta tiene una
amplitud de 2Vdc y 9 niveles de tensión, siguiendo la regla de Lll = 2L − 1, donde
L representa a los niveles presentes en la tensión de fase (vuo ) y Lll a los de la
tensión de línea. El contenido armónico de vuv es reducido, totalizando un THD de
25,92 %. Por otro lado, la tensión por fase en la carga presenta un mayor número
de niveles (13). Sin embargo, su THD, al igual que para vuv , es de 25,92 %.
12
0
Magnitud norm. (pu)
10
Vuv,h
2Vdc
−1
10
−2
10
−3
10
1
mf
2mf
3mf
Orden (h)
4mf
5mf
6mf
mf
2mf
3mf
Orden (h)
4mf
5mf
6mf
0
Magnitud norm. (pu)
10
Vun,h
2Vdc
−1
10
−2
10
−3
10
1
Figura 1.12: Espectro armónico de las tensiones de salida del inversor trifásico
(mf = 27, ma = 1)
1.4.
GENERALIZACIÓN DEL IHFB
A modo de complemento se presenta en esta sección una generalización del
convertidor anteriormente expuesto. A través de ella, es posible derivar una topología multinivel que entregue L niveles en la tensión de fase, utilizando n = p + 4
interruptores (p = {4, 8, 12, . . .}), en donde los niveles L y los interruptores n se relacionan según L = n − 3. El esquema se presenta en la figura 1.13 y el diagrama
de la generación de los pulsos de comando en la figura 1.14. El caso de L = 9
(n = 12), que entrega una tensión de línea con Lll = 17 se simuló digitalmente. El
diagrama del circuito 9L-IHFB y su control se pueden ver en la figura 1.15. La tensión de línea para 2 tipos de modulación diferente se muestran en la figura 1.16.
El detalle de las diferentes modulaciones se puede ver en la figura 1.17.
Existen diversos métodos de modulación para inversores multinivel, cada una
con sus ventajas y desventajas. Para el 9L-IHFB se ocupó en un caso la modulación por Desplazamiento de Fase PS (Phase Shift) y en el otro una modulación
híbrida resultante de la combinación de Desplazamiento de Fase PS y Disposición
de fase PD.
13
S1
C1
S2
Sp/2-1
Cp/4
a
Sp/2
Vdc
SH1
SH3
n
Sp/2+1
SH2
SH4
Cp/4+1
Sp/2+2
Cp/2
Sp-1
Sp
Figura 1.13: Generalización del IHFB
14
+
−
S1
S2
Vt1
+
−
Sp/2-1
Sp/2
Vt(p/4)
+
−
Sp/2+1
Sp/2+2
Vt(p/4+1)
+
−
Sp-1
Sp
Vt(p/2)
abs
+
Vm
−
SH1 SH4
SH2 SH3
Figura 1.14: Diagrama de la generación de los pulsos de comando para el IHFB
generalizado
15
S1
+
S1
C1
S2
−
S2
Vt1
S3
+
S3
C2
S4
−
a
Vt2
SH1
S4
Vdc
SH3
S5
+
n
S6
−
SH2
S5
SH4
Vt3
C3
S7
+
S6
S8
−
Vt4
abs
C4
S7
SH1 SH4
+
S8
SH2 SH3
−
Vm
Figura 1.15: Inversor Híbrido Puente Completo para L=9 (9L-IHFB)
0
10
2
Magnitud norm. (pu)
PS
vuv / Vdc
1
0
−1
−2
0
Vuv,h
2Vdc
−1
10
−2
10
−3
5
10
15
10
20
1
mf
2mf
3mf
4mf
5mf
6mf
3mf
4mf
Orden (h)
5mf
6mf
0
10
2
Magnitud norm. (pu)
PS+PD
vuv / Vdc
1
0
−1
−2
0
Vuv,h
2Vdc
−1
10
−2
10
−3
5
10
t [ms]
15
20
10
1
mf
2mf
Figura 1.16: Tensiones de línea del 9L-IHFB para dos tipos de modulación (ma =1,
fsw =750Hz)
16
1
PS
ref, carr
0.8
0.6
0.4
0.2
0
0
2
4
6
8
10
12
14
16
18
20
1
ref, carr
0.8
PS+PD
Vt1 − Vt4
0.6
0.4
Vt2 − Vt3
0.2
0
0
2
4
6
8
10
Tiempo [ms]
12
14
16
18
20
Figura 1.17: Diferentes tipos de modulación utilizados en el 9L-IHFB (ma =1,
fsw =750Hz)
Tabla 1.3: Detalle de la modulación PS y PS+PD
Portadora
Fase
Vt1
Vt2
Vt3
Vt4
90
0
180
270
Mod. PS
Nivel CC Amp.
0
0
0
0
1
1
1
1
Mod. PS+PD
Fase Nivel CC Amp.
0
0
180
180
0,5
0
0
0,5
0,5
0,5
0,5
0,5
El primer caso, como lo muestra la figura 1.17, consiste de una moduladora
sinusoidal rectificada y 4 portadoras Vtx , todas de la misma amplitud. En el segundo, se trabaja con 2 bandas, una desde 0 a 0,5 y la otra desde 0,5 a 1. Hay 2
portadoras por banda y estas están separadas 180◦ entre sí. Los detalles acerca
de estas modulaciones se puede encontrar en la tabla 1.3.
1.5.
CONCLUSIONES
En este capitulo se ha presentado una somera descripción del inversor híbrido
simétrico en su versión monofásica y trifásica, junto con su estrategia de modulación. Estas topologías forman la base para el estudio que se presentará en los
17
capítulos siguientes y su comprensión es de vital importancia. Mayores detalles,
junto con otras topologías derivadas del mismo concepto de inversor híbrido se
pueden encontrar en [1]. Además, se ha propuesto una generalización del inversor
de 5 niveles a L niveles, incluyendo 2 métodos para su modulación.
CAPÍTULO 2
ESFUERZOS DE TENSIÓN-CORRIENTE
2.1.
INTRODUCCIÓN
En este capítulo se hace una breve descripción de los diferentes esfuerzos de
tensión y de corriente a los que están sometidos los componentes del inversor.
Además, se presenta una sección con guías para dimensionar adecuadamente
los capacitores del enlace CC.
2.2.
2.2.1.
ESFUERZOS DE TENSIÓN
Análisis teórico
Los esfuerzos de tensión en el inversor son bastante simples de determinar. Del
circuito y la modulación utilizada se extraen las tensiones máximas soportadas por
cada par interruptor/diodo,
(
Vmax =
Vdc /2
Vdc
para S1 − S4
para S5 − S8
(2.1)
A máximo índice de modulación (ma = 1), se cumple que para la fundamental
de la tensión de línea
Vll,r ms,1 = 1,23Vdc
(2.2)
Los semiconductores de potencia se clasifican para diferentes niveles de tensión en base al parámetro VDRM o VRRM , que para efectos prácticos son equivalentes. VDRM se refiere a la tensión máxima repetitiva directa, mientras que VRRM
a la máxima repetitiva inversa. En algunos fabricantes, a esta tensión nominal se
le denomina simplemente VC E . Se define como “la tensión máxima que el dispositivo puede bloquear de manera repetitiva. Sobre este nivel no habrá equilibrio
térmico y el dispositivo fallará” [4]. La figura 2.1 ejemplifica los diversos paráme-
19
Figura 2.1: Definición de parámetros de tensión para semiconductores [4]
tros relacionados con la tensión que se pueden encontrar en la hoja de datos de
un semiconductor de potencia.
Según las recomendaciones de ABB en [4],
Vcom@100F I T = 1,15Vmax
(2.3)
VDRM = VRRM = 1,5Vcom@100F I T
(2.4)
donde Vcom@100F I T representa la tensión DC nominal en el semiconductor para 100
FIT1 .
Considerando todo lo anterior, se puede determinar el valor de VDRM en función
de la tensión de línea requerida:
(
VDRM =
0,5 · 1,5 · 1,15 · 1,23−1 · VLL = 0,701VLL
1,5 · 1,15 · 1,23−1 · VLL = 1,40VLL
para S1−4
para S5−8
(2.5)
Del resultado obtenido a través de la ecuación se elegirá el semiconductor con
el nivel de tensión superior más cercano.
De esta manera, se cuentan todos los datos necesarios para dimensionar el
nivel de tensión de un semiconductor a operar dentro del inversor estudiado.
A manera de ejemplo, en la tabla 2.1 se muestran algunos niveles de media tensión comunes, acompañados de la tensión VDRM para cada módulo IGBT/Diodo.
1
Failures In Time, una medida estadística para estimar la confiabilidad de un dispositivo bajo
condiciones de operación determinadas. 1 FIT equivale a 1 falla en 109 horas de operación.
20
Tabla 2.1: Tensión VDRM para diferentes niveles de media tensión
Vll (kV) VDRM (kV) VDRM (kV)
S1−4
S5−8
2,3
3,3
4,16
6
6,6
6,9
7,2
1,6
2,3
2,9
4,2
4,6
4,9
5,1
3,2
4,6
5,9
(8,5)
(9,3)
(9,7)
(10,1)
Entre paréntesis se encuentran aquellos parámetros que encuentran por sobre los
6,5kV, límite actual de tensión en las tecnologías de semiconductores de potencia a nivel comercial. En esos casos es necesaria la conexión en serie de dos
semiconductores.
2.2.2.
Resultados Experimentales
Se construyó un prototipo del inversor en baja potencia (300W/200Vdc) y se
configuró para fsin =50Hz y fsw =1600Hz. Las mediciones de las tensiones obtenidas
se muestran en 2.2. Se observa que los valores máximos (parte inferior de la
figura) concuerdan con lo propuesto anteriormente. En cifras, la tensión en S2 y S4
es de 0,529Vdc y 0,5Vdc , respectivamente, lo que es cercano o igual a los 0,5Vdc
teóricos. S5 y S6 , por su parte, tienen tensiones máximas de 0,99Vdc y Vdc , también
dentro de lo esperado.
2.3.
2.3.1.
ESFUERZOS DE CORRIENTE
Aspectos generales
En esta sección se obtendrán las expresiones analíticas que determinan la corriente efectiva y media a través de cada uno de los semiconductores del inversor,
teniendo en cuenta los siguientes aspectos:
21
Figura 2.2: Mediciones de tensión experimentales (CH4:S6 / CH2:S5 / CH1:S2 /
CH3:S4 )
2.3.1.1.
Corriente ideal en la carga
La corriente iph (t) corresponde a la corriente de fase (línea) del inversor. Se
considera como una sinusoide ideal, desfasada en un ángulo φ con respecto a la
fundamental de la tensión en esa fase.
iph (ωt) = Îph sin(ωt − φ)
2.3.1.2.
(2.6)
Definiciones de corriente media y efectiva
Para cada dispositivo del inversor se calcularán tanto la corriente media Iav g
como la corriente efectiva Ir ms . Si la corriente es continua, estas están definidas de
la siguiente manera:
Z 2π
1
i(ωt)dωt
Iav g =
2π 0
s
Z 2π
1
Ir ms =
i 2 (ωt)dωt
2π 0
(2.7)
(2.8)
22
Como en algunos casos la corriente no es continua, sino que pulsada, la corriente se obtiene promediando los cálculos para cada ciclo de conmutación Tsw .
Para el caso de la corriente media
Iav g
Z nTsw
1 X 1
i(t)dt
=
N n Tsw (n−1)Tsw
(2.9)
Aquí la variable N es equivalente al índice de frecuencia mf y representa el
número de conmutaciones durante un ciclo de la fundamental (fsw /fsin ). Se optó por
denominarlo N durante este análisis, debido a que la expresión anterior representa
un promedio. Tsw , por su parte, es el periodo de conmutación (1/fsw ).
En cada periodo de conmutación habrá circulación de corriente sólo durante
una fracción de este. Si definimos a Dn como la razón cíclica del n-ésimo periodo, entonces el tiempo de conducción de corriente será Dn Tsw . Tomando esto en
cuenta, reescribimos la expresión anterior como
Iav g
Z (n−1+Dn )Tsw
1 X 1
i(t)dt
=
N n Tsw (n−1)Tsw
(2.10)
Si Tsw es lo suficientemente pequeño, entonces se puede afirmar que la corriente i(t) se mantiene constante durante el intervalo de conducción. En ese caso,
es posible evaluar la integral para obtener la siguiente expresión:
Iav g =
1 X
Dn i(nTsw )
N n
(2.11)
Amplificando por Tsw /Tsw con el fin de aproximar la sumatoria con una integral,
y teniendo en cuenta que
1
1
=
NTsw
Tsin
1
= fsw
Tsw
(2.12)
se llega a
Iav g
ma
=
2π
Z
2π
D(ωt)i(ωt)dωt
(2.13)
0
Análogamente, la corriente efectiva en el caso de corrientes pulsadas queda
definida como
s
Z
ma 2π
Ir ms =
D(ωt)i 2 (ωt)dωt
(2.14)
2π 0
23
Para evaluar estas expresiones es necesario identificar la función D(ωt), que
describe la variación de los anchos de pulso en función del ángulo. Se sabe, por el
tipo de modulación aplicada (ver 1.2.2), que la variación del ancho de los pulsos en
los interruptores sigue a la moduladora, una sinusoide rectificada. Esto se cumple
para S1 y S4 , mientras que S2 y S3 variarán de manera inversa a la moduladora,
pues la salida de la señal de comando está negada para ellos (ver Fig. 1.6). Para
los cálculos siguientes se asume ma =1.
2.3.2.
Cálculos analíticos
Para obtener las expresiones analíticas que determinan la corriente media y
efectiva en los semiconductores utilizando las ecuaciones (2.13) y (2.14), es necesario tener en cuenta lo siguiente:
En el caso de los interruptores IGBT, la corriente i(t) se refiere a la corriente
de colector iC (t) y en el caso de los diodos, a la corriente directa iF (t) a través
del mismo.
En los semiconductores no hay circulación de corriente durante todo el intervalo comprendido entre 0 y 2π, por lo que es necesario definir los límites de
integración dentro de los cuales la corriente es no nula (ωt0 y ωt1 ).
La periodicidad de la forma de onda de corriente es, para los dispositivos de
la CT, equivalente a π radianes, lo que implica reajustar las expresiones de
corriente antes definidas.
2.3.2.1.
Célula CT
En la tabla 2.2 se muestran las diferentes variables necesarias para evaluar las
expresiones de corriente media y efectiva en los dispositivos de la célula CT. Un
gráfico de las corrientes a través de un par interruptor/diodo se puede ver en la
figura 2.3. Los resultados de los cálculos se exponen en la tabla 2.3.
2.3.2.2.
Puente H
En el puente H las formas de onda de los 4 interruptores son iguales, con la
única salvedad de que hay un desfase de 180◦ entre los pares S5 –S8 y S6 –S7 . Como las corrientes media y efectiva se calculan para un periodo completo, estas
24
Tabla 2.2: Variables para los cálculos de corriente de la CT
1
Dispositivo
D(ωt)
ωt0
ωt1
S1 , S4
S3 , S2
D1 , D4
D3 , D2
| sin ωt|
|1 − sin ωt|
| sin ωt|
|1 − sin ωt|
φ
0
0
φ
π
φ
φ
π
i(ωt)
iph (ωt)
−iph (ωt)
−iph (ωt)
iph (ωt)
iD1
iS1
0.5
0
−φ
1
0
π−φ
π
0
π−φ
π
iD2
iS2
0.5
0
−φ
X/Xmax
1
iL
vφ,1
0
−1
−φ
π−φ
0
π
Figura 2.3: Definición de los límites de integración para la corriente
Tabla 2.3: Esfuerzos de corriente en los componentes de la CT
2
Ir2ms /Îph
Iav g /Îph
1
2π
S 1,4
1
2π
S 2,3
(φ − 2) cos φ − sin φ + 2
1
2π (sin φ
D 1,4
D 2,3
sin φ + (π − φ) cos φ
1
2π
1
6π
1
3 sin 2φ + 2 cos 2φ − 8 cos φ − 6φ + 6
− 12π
1
6π (cos 2φ
− φ cos φ)
(φ − π + 2) cos φ − sin φ + 2
cos 2φ + 4 cos φ + 3
1
12π
− 4 cos φ + 3)
3 sin 2φ − 2 cos 2φ − 8 cos φ + 6(π − φ − 1)
25
I / Imax
1
D5 − D8
0.5
0
0.02
0.025
0.03
S5 − S8
0.035
0.04
0.045
0.05
0.055
0.06
0.05
0.055
0.06
I / Imax
1
D6 − D7
0.5
0
0.02
0.025
0.03
0.035
0.04
t (s)
S6 − S7
0.045
Figura 2.4: Corrientes en el puente H
serán idénticas para los 4 casos. Lo mismo es posible afirmar para los diodos. Sin
embargo, las formas de onda en los semiconductores no tienen una descripción
matemática simple, lo que dificulta el cálculo analítico, aunque no lo hace imposible. En la figura 2.4 se muestran las formas de onda de la corriente a través de los
interruptores y los diodos.
Para el cálculo de las corrientes en los interruptores del puente H se tomó
como referencia S5 y S8 , que tienen corrientes idénticas. Como se puede ver en la
figura 2.4, hay dos tipos de formas de onda de corriente identificables: Una que es
continua2 y corresponde a |iph | y otra que es una suma de dos formas de onda,
una continua equivalente a |iph /2| y otra pulsada que también sigue a |iph /2|.
Teniendo en cuenta lo anterior, que queda resumido en la tabla 2.4, se pueden
calcular las corrientes. Los resultados se muestran en la tabla 2.5. Cabe mencionar
que la manera en que fueron realizados los cálculos y definidas las variables limita
los valores que pueda tomar el ángulo φ a los comprendidos entre 0 y 180◦ .
2.4.
DIMENSIONAMIENTO DE LOS CONDENSADORES
El correcto dimensionamiento de los condensadores presentes en el enlace
CC es vital para que el inversor cumpla su función. Para el dimensionamiento es
necesario tener en cuenta 3 factores:
2
Continua en el sentido matemático, no en el sentido eléctrico.
26
Tabla 2.4: Variables en el puente H según corriente en S/D5 y S/D8
Tipo
ωt0
ωt1
S
0
π+φ
π+φ
2π − π/6
2π − π/6
2π
2π − π/6
2π
D
0
π − π/6
π
π+φ
π − π/6
π
π+φ
2π
D(ωt)
iC (ωt)
–
1
1
|sin(ωt)|
0
|iph (ωt)|
|iph (ωt)/2|
|iph (ωt)/2|
–
|1 − sin(ωt)|
1
–
0
|iph (ωt)/2|
|iph (ωt)|
0
Tabla 2.5: Esfuerzos de corriente en los componentes del puente H
SH
DH
Iav g /Îph
1
11π
− sin φ − 11π
16π 4 cos φ − 6
3
+ sin φ + 4 + π3 cos φ + 8
1
5π
5π
16π 4 cos φ − 6 + sin φ − 3 +
√
8 sin 2φ + 2 cos 2φ − 24φ − π − 3 3 + 6
2
Ir2ms /Îph
1
11π
− 3 cos 2φ − 11π
96π 9 sin 2φ − 3
6
√
+2 sin 2φ + 2 cos 2φ − 24φ + 21π − 3 3 + 6
1
5π
− 96π
3 cos 2φ − 5π
6 + 3 sin 2φ − 3 +
− sin φ − 4 + π3 cos φ + 8
Nivel de tensión CC nominal (Rated DC Voltage)
Ondulación de corriente (Ripple Current)
Capacitancia
Nivel de tensión CC nominal El primero es el más simple de determinar y corresponde para el caso estudiado a Vdc /2. Se puede tomar un margen de seguridad de 5 % o 10 %, según lo requiera la aplicación.
Ondulación de corriente Corresponde a la componente alterna de la corriente
que fluye a través del condensador. Para el caso del enlace CC, no hay componente continua presente en la corriente. Es de importancia, pues es la responsable
del calentamiento generado a través de las pérdidas en la resistencia serie equivalente (ESR). Si definimos Icap como el valor efectivo de la corriente a través de
un condensador, entonces,
2
Pg en = Icap
· E SR
(2.15)
La ondulación de corriente efectiva normalizada icap,pu para cualquiera de los
27
0.38
Corriente RMS normalizada
0.36
0.34
0.32
0.3
0.28
0.26
0
20
40
60
80
100
120 140
Ángulo de carga φ (grados)
160
180
Figura 2.5: Ondulación de corriente normalizada en los condensadores icap,pu
condensadores del inversor en función del ángulo de carga φ se muestra en la
figura 2.5. Para obtener la ondulación de corriente real, se debe aplicar la siguiente
relación:
Icap = icap,pu · Iph,r ms
(2.16)
donde Iph,r ms corresponde a la corriente efectiva en una de las fases de la carga.
Capacitancia Su valor determinará la ondulación de voltaje permitida. Lo ideal
sería que esta fuese cercana a cero, pero eso implica condensadores demasiado
grandes y caros. La elección final debe ser un compromiso entre los requerimientos de espacio, presupuesto y calidad de la forma de onda.
La componente alterna en la corriente será la encargada de producir esta ondulación, la que se puede determinar a través de la Ley de Ohm,
Vcap = Z · Icap
(2.17)
donde Z es la impedancia y Vcap es el valor RMS de la ondulación del condensador.
A través de simulaciones se comprobó que la relación entre el valor RMS y la
amplitud máxima es
V̂cap = 3Vcap
(2.18)
Definimos la ondulación de tensión ∆Vcap como la amplitud peak-to-peak de la
28
tensión, o sea
∆Vcap = 2V̂cap = 6Vcap
(2.19)
Despreciando el efecto de la ESR y de las armónicas superiores, la impedancia
puede ser aproximada a través de
Z=
1
2πfsw C
(2.20)
Se utiliza la frecuencia fsw como frecuencia equivalente, pues en torno a ella se
ubican los principales armónicos de la señal.
Reemplazando, podemos reescribir la ecuación para la ondulación,
∆Vcap =
6 · icap,pu · IL
2π · fsw · C
(2.21)
Considerando el valor máximo de icap,pu posible y despejando para C , entonces
la ec. anterior se transforma en
Cmin =
3 · 0,37 · IL
π · fsw · ∆Vcap
(2.22)
en donde Cmin representa el valor mínimo de capacitancia requerido para que la
ondulación no supere el margen estipulado.
2.5.
CONCLUSIONES
En este capítulo se presentaron las expresiones que determinan los esfuerzos de corriente y de tensión en cada uno de los componentes del inversor. Se
presentaron también resultados experimentales que permitieron corroborar los esfuerzos de tensión y una metodología para dimensionar adecuadamente los condensadores del enlace CC, entregándose una expresión analítica para estimar la
capacitancia en función de la ondulación de tensión deseada. Estos contenidos,
junto con los del análisis de pérdidas, dan las herramientas necesarias para poder
especificar un inversor correctamente.
CAPÍTULO 3
ESTUDIO DEL BALANCE DEL ENLACE CC
3.1.
INTRODUCCIÓN
El balance del enlace CC (DC-link) en convertidores multinivel es un tema de
gran relevancia. Los convertidores multinivel se caracterizan por sintetizar los diferentes niveles de tensión en la salida a través de fuentes CC aisladas o condensadores en serie. Este último método tiene como problema que la tensión en los
condensadores se puede ver afectada por cargas y descargas no equilibradas en
los mismos, lo que ocasionará niveles de tensión mayores o menores a los deseados, con una consiguiente mayor distorsión en la forma de onda de salida y/o una
distribución desequilibrada de las tensiones en los semiconductores.
El problema de las cargas y descargas, ejemplificado en la célula CT presentada en la sección 1.1, se puede observar en la figura 1.3. En ella se muestran
los diferentes estados de conducción de la CT. De estos, son los estados (b) y (d)
los que ocasionan una carga/descarga del capacitor C1 y C2 , respectivamente. En
(a) los capacitores no están conectados a la salida, mientras que en (c) ambos se
cargan/descargan de igual manera, lo que no afecta a su balance. Tema de este
capitulo es analizar estas cargas y descargas para ver si tienen o no un efecto en
los niveles de tensión deseados.
Como metodología para el estudio, primero se muestra una revisión de la literatura al respecto, la que se centra principalmente en la topología NPC. Luego
se lleva a cabo un análisis matemático del problema del desbalance, con el fin de
encontrar una expresión analítica que lo determine. A continuación se muestran
los resultados de simulaciones digitales realizadas para diferentes condiciones de
operación. Finalmente, se comparan los resultados obtenidos con los experimentales extraídos de un prototipo del inversor.
30
3.2.
REVISIÓN BIBLIOGRÁFICA
Las topologías multinivel hacen uso (a excepción de los convertidores de tipo
cascada) de un enlace CC compuesto por capacitores conectados en serie, los
que permiten sintetizar diferentes niveles de tensión usando sólo una fuente de
alimentación. El correcto funcionamiento de estos convertidores supone un nivel
de tensión constante en cada uno de los condensadores, hecho que en la realidad
no se cumple. Esto ha dado lugar al estudio del balance del enlace continuo (DClink voltage balancing), también conocido como balance del punto neutro (neutralpoint balance) para el caso de los convertidores NPC.
El tema ha suscitado un amplio estudio en el área de convertidores multinivel
en los últimos 15 años, pues es de vital importancia lograr un adecuado balance
para garantizar el correcto funcionamiento de un convertidor. Un desbalance en los
capacitores conlleva una mayor distorsión armónica en la salida y una distribución
desigual de la tensión en los semiconductores, lo que puede provocar incluso su
destrucción.
Las soluciones encontradas a este problema son tantas como los estudios realizados. Sin embargo, es posible clasificarlas según los métodos empleados. Un
esquema de esta clasificación se muestra en la Fig. 3.1. Se puede apreciar que los
métodos se dividen entre lazo abierto y lazo cerrado, como también entre modulación por ancho de pulso (PWM) de tipo vectorial espacial (SVPWM) y basada en
portadora. Además, existe otra familia de soluciones que logra el balance a través
de circuitos adicionales.
Cabe mencionar que la gran mayoría de los estudios realizados se centra en la
topología NPC, dada su alta popularidad y extendido uso. Los artículos reseñados
a continuación se refieren a esta topología, a no ser que se indique lo contrario.
3.2.1.
Lazo abierto
Las soluciones de lazo abierto se basan en modificar el algoritmo de modulación de manera tal que el desbalance en los condensadores quede minimizado.
En otras palabras, se logra un balance natural de la tensión. No han sido tan estudiadas como las de lazo cerrado.
Un acercamiento para modulación PWM basado en portadora ha sido propuesto en [5]. Aquí se analiza un tipo de PWM sinusoidal (SPWM) con las portadoras
31
Figura 3.1: Clasificación de métodos para el balance del punto neutro.
en Disposición de Oposición de Fase (POD) que provoca una mejora en el balance
del punto neutro (PN). Además, se sugiere el uso de un filtro pasivo en la carga
que acelera el proceso de autobalance.
Liu et al. [6] formulan y demuestran que un algoritmo de modulación sin armónicos pares garantiza un balance natural de los condensadores. La técnica es
implementada a través de SVPWM, como también es el caso expuesto en [7].
Aquí, para alcanzar el balance, se crea un algoritmo de modulación que considera
formas de onda de salida con simetría de media onda, cuarto de onda y trifásica.
Además, estas deben estar sincronizadas con la fundamental.
Un caso especial, algo difícil de clasificar, es el expuesto en [8]. Pan et al.
focalizan su investigación en sistemas de rectificador/inversor espalda con espalda multiniveles. En el análisis usan la topología diode-clamped de 5 niveles (ver
Fig. 5.1). El estudio de topologías con un enlace CC de más de dos condensadores supone dificultades mucho mayores, y se encuentra en una etapa primaria.
En su acercamiento formulan una teoría para conmutaciones de frecuencia fundamental (pulso único) que luego extienden a PWM basado en portadora. Dada la
complejidad del sistema, un control de lazo abierto no es tan efectivo, por lo que
además de reformular el algoritmo de modulación le agregan un control de lazo
cerrado para corregir errores más pequeños.
32
3.2.2.
Lazo cerrado
La técnica de control de lazo cerrado ha sido ampliamente analizada. Existen estudios formulados tanto para PWM basado en portadora [9–13], como para
PWM vectorial espacial [14–17].
3.2.2.1.
PWM basado en portadora
La técnica base del balance a través de PWM sinusoidal consiste en agregar
pequeños niveles de tensión continua (positivos o negativos) a la moduladora, lo
que tiene una repercusión directa en la tensión en los condensadores. Ogasawara
y Akagi [9] fueron uno de los primeros en documentar un análisis del caso. Ellos
proponen un método de balance realimentando la tensión en los capacitores, al
igual que en [10]. En este último, sin embargo, se analiza el caso para un convertidor espalda con espalda (back-to-back) alimentando un motor de inducción. Otro
estudio utiliza el llamado PWM discontinuo [11], elaborando un método de bastante simpleza, pero sólo válido para bajas frecuencias. Utiliza como dato la tensión
de salida del inversor.
Otros métodos más elaborados utilizan dos parámetros para establecer el control deseado en vez de uno. En [12] se analiza el comportamiento de un inversor
de cuatro niveles de tipo diode clamped. Para balancear el enlace continuo, se
realimentan las tensiones de los condensadores y las corrientes de salida. Un reciente estudio basado en el NPC [13] hace uso de la información de las tensiones
de salida y de los capacitores para mantener el balance a través de dos lazos de
control.
3.2.2.2.
PWM vectorial espacial
En SVPWM, un efecto análogo al de insertar una tensión de secuencia cero en
la moduladora es el manejo adecuado de los tiempos de aplicación de los vectores
redundantes. Para esto se agrupan en parejas, en donde la duración relativa de los
vectores que generan una corriente a través del PN positiva o negativa se ajusta
para compensar el desbalance.
Un estudio generalizado de las diferentes soluciones propuestas con este método se puede encontrar en [18]. En él, Celanovic y Boroyevich hacen una revisión
de las técnicas de balance y además proponen un modelo en coordenadas DQ para estudiar de mejor manera el comportamiento del NPC y su balance y sugieren
33
un método para dimensionar los capacitores.
La mayoría de los trabajos aquí reseñados utiliza dos parámetros, a excepción
del expuesto en [14], que considera sólo las corrientes de fase para asignar los
vectores redundantes apropiados. El aporte de Chile al tema va de la mano de J.
Rodríguez et al. [15], quienes establecen un método de control del PN para un rectificador NPC, a través de un sencillo método en donde realimentan la información
de la tensión en los condensadores y el signo de la corriente alterna. Continuando
en el estudio de rectificadores activos, Bendre y Venkataramanan muestran en [16]
una versión modificada de [13] para rectificadores de tres niveles. Aquí también se
recurre a dos lazos de control, realimentando la tensión en los condensadores, la
tensión total del enlace CC y el factor de potencia en la entrada para lograr un correcto funcionamiento del convertidor. Finalmente, Kanchan et al. [17] elaboran un
método en donde miden el desbalance en los condensadores y la dirección del flujo de potencia para controlar un inversor, accionando un motor capaz de funcionar
tanto en modo normal como regenerativo.
3.2.3.
Circuitos adicionales
Como ya se mencionó en el apartado 3.2.1, el estudio [5] combinó la modificación del algoritmo de modulación con la inclusión de un filtro de salida (balancing
booster) para lograr un balance natural del enlace CC. Otro caso en donde el
balance se logra a través de circuitos adicionales se muestra en [19]. Aquí se estudia el comportamiento de un inversor NPC trifásico de 4 hilos para aplicaciones
FACTS. En su análisis se propone la utilización de un circuito de balance activo
basado en un convertidor buck-boost de 3 niveles.
3.2.4.
Otros estudios
Siguiendo en la topología NPC, Pou et al. han realizado estudios centrados en
los efectos de cargas desbalanceadas y no lineales en el balance del enlace continuo [20], como también en la evaluación de las oscilaciones de baja frecuencia
del PN para diferentes algoritmos de SVPWM [21].
34
i2
iC1
C1
S1
+
vC1
-
iH
S2
x
S5
S7
i1
b
carga
a
iL
iC2
C2
S3
S6
+
vC2
-
S8
y
S4
i0
Figura 3.2: Definición de variables para el inversor monofásico
3.3.
ANÁLISIS TEÓRICO
Antes de comenzar con el análisis, es necesario definir claramente las variables
que serán utilizadas. Estas se muestran en la figura 3.2.
Se definen las funciones de estado de conmutación como
(
1 interruptor Sx ON
Sx =
(3.1)
0 interruptor Sx OFF
(
SH =
-1 S5 , S8 ON S6 , S7 OFF
1 S6 , S7 ON S5 , S8 OFF
(3.2)
donde x = {1,2,3,4}.
La tensión en los terminales x-y se puede definir en función de los estados de
conmutación Sx como
vxy (t) = S1 · vC 1 (t) + S4 · vC 2 (t)
(3.3)
La tensión aplicada al enlace CC corresponde a Vdc , por lo tanto
Vdc = vC 1 (t) + vC 2 (t)
(3.4)
35
Reemplazando y reagrupando,
vxy (t) = (S1 − S4 ) · vC 1 (t) + S4 · Vdc
(3.5)
La tensión en un condensador está dada por la siguiente expresión:
1
vC 1 (t) =
C
Z
t1
iC 1 (t)dt +
t0
Vdc
2
(3.6)
Aplicando LKC en el punto medio del enlace CC,
iC 1 (t) = i1 (t) + iC 2 (t)
(3.7)
Pero
dvC 2 (t)
d
= C (Vdc − vC 1 (t))
dt
dt
dvC 1 (t)
=−
= −iC 1 (t)
dt
iC 2 (t) = C
(3.8)
Reemplazando la última expresión en (3.7),
iC 1 (t) = i1 (t) − iC 1 (t)
iC 1 (t) =
i1 (t)
2
(3.9)
Por lo tanto, es posible expresar la tensión en el condensador C1 en función de
i1 (t)
Z t1
1
Vdc
vC 1 (t) =
i1 (t)dt +
(3.10)
2C t0
2
De (3.4) sabemos que
vC 2 (t) = Vdc − vC 1 (t)
(3.11)
Entonces, definimos al desbalance ∆vC como
∆vC (t) = vC 1 (t) − vC 2 (t)
= 2vC 1 (t) − Vdc
(3.12)
Tomando en cuenta la ecuación (3.10), podemos expresar el desbalance de la
siguiente manera:
36
1
∆vC (t) =
C
Z
t1
i1 (t)dt
(3.13)
t0
Se extrae que el balance de los condensadores se mantendrá, si el valor medio
de i1 (t) es cero.
Las corrientes de los diferentes niveles del enlace CC están dadas por:
i2 (t) = S1 · iH (t) = S1 · SH · iL (t)
(3.14)
i0 (t) = S4 · −iH (t) = −S4 · SH · iL (t)
(3.15)
i1 (t) = −i2 (t) − i0 (t) = SH · (S4 − S1 ) · iL (t)
(3.16)
donde iL es la corriente en la carga y se define a través de la ecuación diferencial
1
iL (t) =
R
diL (t)
vab (t) − L
dt
(3.17)
Además,
vab (t) = SH · vxy (t)
(3.18)
Resumiendo, el desbalance quedará definido por las expresiones
Z t1
1
iL (t)dt
∆vC (t) = SH (S4 − S1 )
C
t0
1
diL (t)
iL (t) =
SH vxy (t) − L
R
dt
3.4.
(3.19)
(3.20)
SIMULACIONES DIGITALES
En esta sección se detallan los resultados de las diferentes simulaciones en
relación al desbalance en el enlace CC. De ellos se extraen conclusiones que
luego serán comprobadas a través de resultados experimentales en la siguiente
sección.
37
3.4.1.
Metodología
Para la simulación del circuito y de las tensiones en los condensadores se
consideraron los siguientes puntos:
Simulaciones a través de PSIM
Tiempo de simulación ≥ 10 s
Paso de simulación de 100 ns
Circuito monofásico y trifásico
Simulaciones en función de:
• Frecuencia de conmutación fsw
• Indice de modulación ma
• Capacitancia C1 6= C2
• Resistencia serie equivalente E SR
Se utilizó el programa PSIM, debido a su simpleza y rapidez de cómputo en
comparación con otras alternativas disponibles. El tiempo de simulación se fijó
sobre los 10 s, puesto que las constantes de tiempo involucradas en los condensadores son lentas. El paso de simulación se dejó en 100 ns por razones que se
exponen más adelante. Para todas las simulaciones se consideró el inversor en su
versión monofásica y trifásica conectado a una carga RL. Finalmente, se variaron
diferentes parámetros del circuito para observar si el balance se ve afectado por
ellos.
Especial atención se prestó en la correcta definición del paso de simulación
o time step, pues la representación de las tensiones en los condensadores es
altamente sensible a él. Este fenómeno se puede apreciar en la figura 3.3, que
muestra las tensiones en los condensadores para diferentes pasos de simulación
(Vdc =3kV, C =1mF, fsw =2kHz). Se eligió para las simulaciones del desbalance un
paso de 100 ns, que es el que brinda mayor exactitud sin hacer los tiempos de
cómputo excesivamente largos.
38
Tensión (V)
1600
∆t = 1e−5 s
1500
vC2
1400
0
Tensión (V)
1600
0.5
1
1.5
2
2.5
3
3.5
4
4.5
5
1
1.5
2
2.5
3
3.5
4
4.5
5
1
1.5
2
2.5
Tiempo (s)
3
3.5
4
4.5
5
∆t = 1e−6 s
1500
1400
0
1600
Tensión (V)
vC1
0.5
∆t = 1e−7 s
1500
1400
0
0.5
Figura 3.3: Efecto del paso de simulación en los resultados (Vdc =3kV, C =1mF,
fsw =2kHz)
39
Tensión [V]
6000
vlínea
3000
0
−3000
−6000
100
102
104
106
108
110
t [ms]
112
114
116
118
120
106
108
110
t [ms]
112
114
116
118
120
Tensión [V]
1520
1510
vC1
vC2
1500
1490
1480
100
102
104
Figura 3.4: Tensión de línea y en los condensadores (Vdc =3kV, C =1mF,
fsw =1600Hz)
3.4.2.
3.4.2.1.
Resultados
Forma de onda de la tensión de salida
Para las simulaciones realizadas se consideró Vdc = 3kV y C =1mF. Con esta
configuración se obtiene una tensión de línea que se muestra en la figura 3.4 para
una fsw =1600Hz, junto con las tensiones en cada uno de los condensadores.
3.4.2.2.
Variación de fsw
Se realizaron simulaciones del desbalance para diferentes valores de fsw (400,
800, 1200, 1600, 2000, 2400 y 3200 Hz). Los datos de proyecto se detallan en la
tabla 3.1. Los resultados obtenidos se muestran en las figuras 3.5 y 3.6 para el
caso monofásico y en 3.7 y 3.8 para el trifásico.
De las simulaciones, tanto para el sistema monofásico como para el trifásico, se
∗
extrae que existe una frecuencia de conmutación fsw
bajo la cual hay desbalance:
menor a 800 Hz para el inversor monofásico y menor a 1200 Hz para el inversor
trifásico.
De ser correcta la relación entre desbalance ∆vC y el valor medio de la corriente
i1 (ver ec. (3.13)), entonces en los casos donde las simulaciones arrojan desba-
40
Tabla 3.1: Datos de proyecto para simulacion (fsw variable)
50 Hz C
0,8 R
3 kV L
fsin
ma
Vdc
1000 µF
2.997 Ω
42,4 mH
fsw = 400 Hz
Tensión [V]
1700
1600
1500
1400
1300
0
5
10
15
fsw = 800 Hz
Tensión [V]
1520
1510
1500
1490
vC1
1480
0
5
10
Tiempo [s]
Tensión [V]
1510
1500
1490
1480
0
5
10
15
fsw = 1600 Hz
1520
Tensión [V]
15
fsw = 1200 Hz
1520
1510
1500
1490
vC1
1480
0
5
10
Tiempo [s]
vC2
15
fsw = 2000 Hz
1520
Tensión [V]
vC2
1510
1500
1490
1480
0
5
10
15
Tiempo [s]
Figura 3.5: Balance en el enlace CC para diferentes fsw (monofásico)
41
fsw = 2400 Hz
Tensión [V]
1520
1510
1500
1490
1480
0
2
4
6
8
10
12
14
16
20
fsw = 3200 Hz
1520
Tensión [V]
18
1510
1500
1490
vC1
1480
0
2
4
6
8
10
Tiempo [s]
12
14
16
vC2
18
20
Figura 3.6: Balance en el enlace CC para diferentes fsw (monofásico)
fsw = 400 Hz
Tensión [V]
2500
2000
1500
1000
500
0
1
2
3
4
5
6
7
8
9
fsw = 800 Hz
1540
Tensión [V]
10
1520
1500
1480
vC1
1460
0
2
4
6
8
10
Tiempo [s]
12
14
16
vC2
18
Figura 3.7: Balance en el enlace CC para diferentes fsw (trifásico)
20
42
fsw = 1200 Hz
Tensión [V]
1520
1510
1500
1490
1480
0
2
4
6
8
10
12
14
16
1510
1500
1490
vC1
1480
0
2
4
6
8
10
Tiempo [s]
12
10
12
14
16
Tensión [V]
18
20
1510
1500
1490
1480
0
2
4
6
8
14
16
18
20
fsw = 2400 Hz
1520
Tensión [V]
vC2
fsw = 2000 Hz
1520
1510
1500
1490
vC1
1480
0
2
4
6
8
10
Tiempo [s]
12
10
12
14
16
vC2
18
20
fsw = 3200 Hz
1510
Tensión [V]
20
fsw = 1600 Hz
1520
Tensión [V]
18
1505
1500
1495
1490
0
2
4
6
8
14
16
18
Tiempo [s]
Figura 3.8: Balance en el enlace CC para diferentes fsw (trifásico)
20
43
Valor medio de la corriente i1
0.06
fsw = 400 Hz
Corriente [A]
0.05
fsw = 800 Hz
0.04
0.03
0.02
0.01
0
2.5
3
3.5
4
4.5
5
Tiempo [s]
Figura 3.9: Valor medio instantáneo de la corriente i1 en el inversor monofásico
lance (400 Hz mono- y trifásico y 800 Hz trifásico) deberían también mostrar una
diferencia en el valor medio de i1 con respecto a alguno de los casos balanceados.
Con el fin de comprobar esta hipótesis, se graficó el valor medio instantáneo de i1
para un caso desbalanceado y para uno balanceado, lo que se puede apreciar en
la figura 3.9. En ella se constata cómo el valor del caso balanceado (800 Hz) tiene
una corriente con un valor medio que se tiende a cero, mientras que en el caso
desbalanceado esta es claramente superior a cero. De esta manera queda comprobada la relación entre el valor medio y el desbalance, planteada en la sección
3.3.
Además, a modo de comprobar que el paso de simulación no influyó en la simulación de los casos con desbalance, se realizaron simulaciones extra con un
paso de simulación de 10 ns para un caso de desbalance monofásico y otro trifásico. Los resultados expuestos en las figura 3.10 no muestran variación alguna
con respecto al paso de simulación, lo que descarta un error en la determinación
de los parámetros.
3.4.2.3.
Variación de otros parámetros
Para observar el comportamiento del balance de los condensadores en función
de otras variables, se realizaron simulaciones variando los siguientes parámetros:
Índice de modulación
0,3 < ma < 1
Capacitancias del enlace CC
C1 = 850 µF & C2 = 1150 µF
C1 = 500 µF & C2 = 1500 µF
44
f
Tensión [V]
1600 sw
∆t = 100 ns
1550
1500
1450
1400
0
f
1600 sw
Tensión [V]
= 400 Hz
0.5
1
1.5
2
2.5
3
3.5
4
= 400 Hz
4.5
5
∆t = 10 ns
1550
1500
1450
1400
0
vC1
vC2
0.5
1
1.5
2
2.5
Tiempo [s]
3
3.5
4
4.5
5
(a) Inversor monofásico
f
Tensión [V]
1800 sw
∆t = 100 ns
1600
1400
1200
0
f
1800 sw
Tensión [V]
= 400 Hz
0.5
1
1.5
2
2.5
3
3.5
4
= 400 Hz
4.5
5
∆t = 10 ns
1600
1400
1200
0
vC1
vC2
0.5
1
1.5
2
2.5
Tiempo [s]
3
3.5
4
4.5
5
(b) Inversor trifásico
Figura 3.10: Influencia del paso de simulación en los casos de desbalance
45
Tabla 3.2: Parámetros del prototipo del inversor para los ensayos
Vdc
Rp
200 V R
22 kΩ L
120 Ω
2,01 mH
Resistencia serie equivalente (ESR) de los condensadores
E SR1 = E SR2 = 224 mΩ
E SR1 = 100 mΩ & E SR2 = 300 mΩ
En todos los casos simulados no se detectó desbalance alguno.
3.4.3.
Conclusiones
De las simulaciones realizadas en esta sección se concluye que sólo la frecuencia de conmutación fsw tiene influencia en el desbalance del enlace CC. Se
detectaron casos de desbalance cuando esta frecuencia se encuentra bajo un va∗
lor fsw
, que para el inversor monofásico es menor que 800 Hz y en el trifásico,
menor que 1200 Hz. Esto se puede deber a que a bajas frecuencias de conmutación la forma de onda de la corriente i1 pierde simetría con respecto al eje del
tiempo, lo que ocasiona un valor medio distinto a cero.
3.5.
RESULTADOS EXPERIMENTALES
En esta sección se muestran los resultados obtenidos en los ensayos de un
prototipo monofásico del inversor alimentando una carga R-L. Los parámetros que
se mantuvieron fijos se detallan en la tabla 3.2. El dibujo esquemático de las conexiones se muestra en la figura 3.11.
3.5.1.
Variación de la frecuencia de conmutación fsw
ma = 0,94 / C1 = C2 = 9,4 mF / fsw = 300, 800, 1600 Hz
En este ensayo se busca comprobar los efectos de la variación de fsw sobre el
balance de los capacitores, en especial el problema de desbalance que se da a
baja frecuencia en las simulaciones. Para esto se tomaron 3 valores diferentes de
46
+
+
C1
Rp
R
Inversor
Vdc
C2
vL
L
Rp
-
-
Varivolt
Figura 3.11: Esquema de la conexión del inversor para los ensayos
fsw , 300, 800 y 1600 Hz. Los resultados, expuestos en la figura 3.12, demuestran
que no hay desbalance para ninguno de los casos, descartando así la hipótesis del
desbalance a fsw bajas. Tanto las tensiones vC 1 como vC 2 se mantienen constantes
en un valor cercano a Vdc /2.
Las condiciones del ensayo, no obstante, son diferentes a las simuladas en la
sección 3.4, lo que hace que los resultados no sean directamente comparables.
A modo de complemento se han incluido nuevas simulaciones con los mismos
parámetros usados para este ensayo, mostradas en el apéndice A. De ellas se
extrae que las simulaciones siguen arrojando desbalance para el caso de fsw =
300 Hz, por lo que no representan fielmente el comportamiento real del circuito.
3.5.2.
Variación del índice de modulación ma
ma = 0,3; 0,6; 1 / C = 9,4 mF / fsw = 1600 Hz
Finalmente, el último ensayo examina el efecto del índice de modulación en el
balance. Los resultados (ver figura 3.13), como era de esperar según las simulaciones, no muestran desbalance en ninguno de los casos.
3.5.3.
Capacitancia desigual
ma = 0,94 / C1 = 9,4 mF, C2 = 14,4 mF / fsw = 1600 Hz
A través de este ensayo se estudia la influencia de un enlace CC compuesto con condensadores de diferentes capacitancias en el balance del mismo. Los
resultados obtenidos (ver figura 3.14) no difieren en su aspecto cualitativo de los
47
(a) fsw = 300 Hz
(b) fsw = 800 Hz
Figura 3.12: Variación de fsw
(CH1: vC 1 / CH2: vC 2 / CH4: vL )
48
(c) fsw = 1600 Hz
Figura 3.12: Variación de fsw (continuación)
(CH1: vC 1 / CH2: vC 2 / CH4: vL )
49
(a) ma = 0,3
Figura 3.13: Variación de ma
(CH1: vC 1 / CH2: vC 2 / CH4: vL )
50
(b) ma = 0,6
(c) ma = 1
Figura 3.13: Variación de ma (continuación)
(CH1: vC 1 / CH2: vC 2 / CH4: vL )
51
obtenidos a través de simulaciones digitales, no manifestando ningún tipo de desbalance.
3.6.
CONCLUSIONES
Con los resultados obtenidos del prototipo del inversor es posible concluir que
no hay desbalance en el enlace CC para las condiciones de operación estudiadas.
En particular, ha quedado en evidencia que los casos de bajas frecuencias de conmutación que sí muestran desbalance en las simulaciones digitales no representan
fielmente el comportamiento del circuito real.
52
(a) Time/div = 4 ms
(b) Time/div = 40 ms
Figura 3.14: Capacitancia diferente
(CH1: vC 1 / CH2: vC 2 / CH4: vL )
CAPÍTULO 4
ESTUDIO DE LAS PÉRDIDAS
4.1.
4.1.1.
PÉRDIDAS DE CONMUTACIÓN
Interruptores (CT)
Las pérdidas de conmutación en un semiconductor se originan debido a que
el tiempo de conmutación de estos desde el estado de encendido al de apagado
no es instantáneo. Al no serlo, existe un intervalo en el que la corriente baja y la
tensión comienza a subir, como se observa en la figura 4.1.
Las energías involucradas en estos procesos de encendido y de apagado están
dadas por las siguientes ecuaciones:
Z
T1
Eon =
Pon (T )dt
(4.1a)
Poff (t)dt
(4.1b)
T0
Z T1
Eoff =
T0
Las pérdidas en un interruptor que funciona a una frecuencia de conmutación
Figura 4.1: Encendido y apagado en un IGBT [22]
54
Figura 4.2: Energías de conmutación para el IGBT SKM 600GB123D
fsw dada se calculan a través de la expresión
Psw
1 X Eon (nTsw ) Eoff (nTsw )
=
+
N n
Tsw
Tsw
(4.2)
Aquí la variable N es equivalente al índice de frecuencia mf y representa el
número de conmutaciones durante un ciclo de la fundamental (fsw /fsin ). Se optó por
denominarlo N durante este análisis, debido a que la expresión (4.2) representa un
promedio. Tsw , por su parte, es el periodo de conmutación (1/fsw ).
Cabe destacar que las variables Eon y Eoff no son constantes, sino que dependientes de la corriente y de la tensión aplicada. Es por esto que son funciones
del tiempo y deben ser evaluadas para un instante determinado. En la figura 4.2
se muestra un extracto de una hoja de datos de un IGBT, en donde se aprecia la
variación de las energías para diferentes niveles de corriente, que es aproximadamente lineal.
Para simplicidad en el desarrollo matemático a continuación, se asume que las
energías dependen linealmente tanto de la tensión como de la corriente.
Vcom iC ,on (nTsw )
·
Vr ef
Ir ef
Vcom iC ,off (nTsw )
·
·
Vr ef
Ir ef
Eon (nTsw ) = Eon,r ef ·
(4.3a)
Eoff (nTsw ) = Eoff ,r ef
(4.3b)
55
Corriente (A)
200
100
0
iD1
−100
iS1
iL
−200
0.02
0.025
0.03
0.035
0.04
Tiempo (s)
0.045
0.05
0.055
0.06
Figura 4.3: Corriente de fase iph y corriente a través del interruptor S1 y su diodo
Vr ef e Ir ef son los valores de prueba utilizados para obtener las energías y
aparecen en la hoja de datos. iC es la corriente de colector del interruptor IGBT
tomado como ejemplo. Vcom es la tensión aplicada al semiconductor entre colector y emisor, que para el inversor estudiado corresponde a Vdc /2. Eon,r ef y Eoff ,r ef
corresponden a energía disipada bajo las condiciones de Vr ef e Ir ef .
Reemplazando (4.3) en (4.2) obtenemos
Psw =
1 Vcom 1 X
(Eon,r ef · iC ,on (nTsw ) + Eoff ,r ef · iC ,off (nTsw ))
NTsw Vr ef Ir ef n
(4.4)
Si fsw es suficientemente alta, entonces
iC ,on (nTsw ) = iC ,off (nTsw ) = iC (nTsw )
(4.5)
Además, como fsw fsin , es válido afirmar que para el inversor estudiado
iC (nTsw ) ≈ |iph,1 (nTsw )| = Îph sin(ωnTsw )
(4.6)
Esta relación de la corriente iC y la corriente iph se cumple sólo durante el intervalo en que el semiconductor se encuentra activo, lo que influirá en la definición
de los límites de integración, como se verá más adelante.
iph,1 corresponde a la componente fundamental de la corriente de fase. La corriente iC es igual a su valor absoluto, pues el puente H del inversor crea el efecto
de rectificación de esa onda para los interruptores de la CT. Este efecto se puede
apreciar de mejor manera en la figura 4.3.
56
Tabla 4.1: Límites de integración
Dispositivo
ωt0
ωt1
S1 , S4
S2 , S3
D1 , D4
D2 , D3
0
π−φ
π−φ
0
π−φ
π
π
π−φ
Reemplazando la aproximación de (4.6) en (4.4) se llega a
Psw =
K Esw X
|sin(ωnTsw )|
NTsw n
(4.7)
donde
K=
Vcom Îph
Vr ef Ir ef
Esw = Eon,r ef + Eoff ,r ef
(4.8)
(4.9)
Amplificando la ecuación anterior por Tsw /Tsw y teniendo en cuenta (2.12) podemos reescribirla como
Psw = K Esw
fsw X
|sin(ωnTsw )| Tsw
Tsin n
(4.10)
Si fsw es alta, entonces la sumatoria se aproxima a una integral.
Psw
Z
fsw
= K Esw
Tsin
t1
|sin(ωt)| dt
(4.11)
t0
Cambiando la variable de integración a ωt,
Psw
fsw
= K Esw
2π
Z
ωt1
|sin(ωt)| dωt
(4.12)
ωt0
Para definir correctamente los límites de integración, es necesario estudiar cuál
es el intervalo en donde conduce el interruptor. Ello queda clarificado al observar
la figura 2.3 y los límites se resumen en la tabla 4.1. También queda claro que la
periodicidad de la corriente a través de los semiconductores es igual a π.
57
Considerando lo anteriormente expuesto, se llega a
Psw ,S1/S4
Psw ,S2/S3
Z
fsw π−φ
sin(ωt)dωt
= K Esw
π 0
Z
fsw π
= K Esw
sin(ωt)dωt
π π−φ
(4.13a)
(4.13b)
Evaluando las integrales se obtiene
fsw
(1 + cos φ)
π
fsw
= K Esw
(1 − cos φ)
π
Psw ,S1/S4 = K Esw
(4.14a)
Psw ,S2/S3
(4.14b)
que son las expresiones analíticas que determinan las pérdidas de conmutación
en los interruptores rápidos de la célula CT.
4.1.2.
Diodos en antiparalelo (CT)
Un análisis análogo al desarrollado para los interruptores puede ser desarrollado para los diodos en antiparalelo que los acompañan. Su resultado se muestra a
continuación.
fsw
(1 − cos φ)
π
fsw
(1 + cos φ)
= K Er ec
π
Psw ,D1/D4 = K Er ec
(4.15a)
Psw ,D2/D3
(4.15b)
La constante Esw es reemplazada en este caso por Er ec , que representa la
energía disipada por el diodo durante el proceso de apagado, conocido como recuperación inversa (ver Fig. 4.4). En los diodos no hay prácticamente pérdidas de
encendido.
4.1.3.
Puente H
Las pérdidas de conmutación en todos los componentes del puente H son nulas, pues conmutan con tensión cero.
58
Figura 4.4: Efecto de recuperación inversa [22]
4.1.4.
Pérdidas de conmutación totales
Las pérdidas de conmutación totales para una fase están dadas por
Psw ,tot ,1ph = 2(Psw ,S 1/S 4 + Psw ,S 2/S 3 + Psw ,D 1/D 4 + Psw ,D 2/D 3 )
4
Psw ,tot ,1ph = · fsw · KP · (Esw + Er ec )
π
(4.16)
Para el inversor trifásico, basta amplificar por tres.
Psw ,tot,3ph =
4.1.5.
12
· fsw · KP · (Esw + Er ec )
π
(4.17)
Gráficos
Con el objeto de comprender mejor el comportamiento de las pérdidas de conmutación, se realizaron algunos cálculos para un inversor trifásico que utiliza módulos IGBT EUPEC de 1,7kV/600A modelo FZ600R17KE3, que incluye además
el diodo en antiparalelo. Los parámetros extraídos de la hoja de datos se muestran en la tabla 4.2. Además, para los cálculos se consideró un Vcom de 845,7 V y
una fsw de 750 Hz, parámetros comunes para inversores con tensión de salida de
2,3kV línea-línea.
En la figura 4.5 se muestran las pérdidas que afectan a los IGBTs y a los diodos
de manera individual. En la figura 4.6 aparecen las pérdidas totales de conmutación en un inversor trifásico. En estos se varía el ángulo de fase y la corriente,
59
Tabla 4.2: Parámetros SKM 600GB123D (pérdidas conmutación)
Eon
Eoff
Er ec
Vr ef
Ir ef
200 mJ
190 mJ
145 mJ
900 V
600 A
D1 / D4
300
150
200
100
Psw (W)
Psw (W)
S1 / S4
100
0
1000
50
0
1000
750
500
250
Iph,pk (A)
0
0
30 60
90 120
150 180
750
500
250
φ (deg)
Iph,pk (A)
0
90 120
150 180
φ (deg)
D2 / D3
300
150
200
100
Psw (W)
Psw (W)
S2 / S3
0
30 60
100
0
1000
50
0
1000
750
500
250
Iph,pk (A)
0
0
30 60
90 120
150 180
φ (deg)
750
500
250
Iph,pk (A)
0
0
30 60
90 120
150 180
φ (deg)
Figura 4.5: Pérdidas de conmutación por dispositivo
manteniendo el resto de los parámetros constantes.
4.2.
PÉRDIDAS DE CONDUCCIÓN
Las pérdidas de conducción son las pérdidas que ocurren durante el tiempo en
que el interruptor se encuentra activo. Se definen a través de la siguiente expresión:
Z
1 T
Pcond =
v (t) · i(t)dt
(4.18)
T 0
60
Psw,tot (W)
3000
2000
1000
0
1000
750
500
250
0
Iph,pk (A)
0
30
60
120
90
150
180
φ (deg)
Figura 4.6: Pérdidas de conmutación totales (inversor trifásico)
donde T representa un periodo de la fundamental de v (t).
Para simplificar el análisis, es posible aproximar v (t) a través de una recta:
(4.19)
v (t) = V0,x + r0,x i(t)
Reemplazando en la expresión para las pérdidas,
Pcond
V0,x
=
T
Z
0
T
r0,x
i(t)dt +
T
Z
T
i 2 (t)dt
(4.20)
0
que, a su vez, puede ser reescrita como
Pcond = V0,x Iav g + r0,x Ir2ms
(4.21)
Esta última expresión facilita mucho los cálculos, pues las corrientes media y
efectiva para cada dispositivo fueron ya calculadas en 2.3. Teniendo en cuenta
lo anterior y la aproximación lineal de la característica dada en (4.19), se pueden
obtener las pérdidas de conducción deseadas.
61
Interruptores (CT)
V0,S Îph
sin φ + (π − φ) cos φ
2π
2
r0,S Îph
+
cos 2φ + 4 cos φ + 3
6π
V0,S Îph
=
(φ − 2) cos φ − sin φ + 2
2π
2
r0,S Îph
3 sin 2φ + 2 cos 2φ − 8 cos φ − 6φ + 6
−
12π
Pcond ,S 1/S 4 =
Pcond ,S 2/S 3
(4.22a)
(4.22b)
Diodos en antiparalelo (CT)
V0,D Îph
(sin φ − φ cos φ)
2π
2
r0,D Îph
+
(cos 2φ − 4 cos φ + 3)
6π
V0,D Îph
(φ − π + 2) cos φ − sin φ + 2
=
2π
2
r0,D Îph
+
3 sin 2φ − 2 cos 2φ − 8 cos φ + 6(π − φ − 1)
12π
Pcond ,D 1/D 4 =
Pcond ,D 2/D 3
(4.23a)
(4.23b)
Interruptores (Puente H)
Pcond ,SH =
V0,S Îph
11π
π
4 cos φ − 11π
−
sin
φ
−
+
sin
φ
+
4
+
cos
φ
+
8
6
3
3
16π
!
2
r0,S Îph
9 sin 2φ − 11π
− 3 cos 2φ − 11π
+
3
6√
+
96π
2 sin 2φ + 2 cos 2φ − 24φ + 21π − 3 3 + 6
(4.24)
Diodos (Puente H)
Pcond ,DH =
V0,D Îph
4 cos φ − 5π
+ sin φ − 5π
− sin φ − 4 + π3 cos φ + 8
6
3
16π
!
2
5π
r0,D Îph
3 cos 2φ − 5π
+
3
sin
2φ
−
+
6
3√
−
96π
8 sin 2φ + 2 cos 2φ − 24φ − π − 3 3 + 6
(4.25)
62
Tabla 4.3: Parámetros módulo EUPEC FZ600R17KE3 (pérdidas de conducción)
IGBT
4.2.1.
V0,S
r0,S
2,4 V
2,3 mΩ
Diodo V0,D
r0,D
1,9 V
0,87 mΩ
Pérdidas de conducción totales
Las pérdidas de conducción totales están dadas por la suma de las pérdidas
de conducción de cada dispositivo del inversor. En el caso monofásico,
Pcond ,tot ,1ph = 2 Pcond ,S 1/S 4 + Pcond ,S 2/S 3 + Pcond ,D 1/D 4 + Pcond ,D 2/D 3
(4.26)
+ 4 (Pcond ,HS + Pcond ,HD )
y para el inversor trifásico,
Pcond,tot,3ph = 3 · Pcond,tot,1ph
4.2.2.
(4.27)
Gráficos
Para poder observar la variación de las pérdidas de conmutación en función del
ángulo de carga φ y de la corriente de fase Îph , se elaboraron una serie de gráficos
3D que se muestran a en las páginas siguientes. Para ellos se consideraron los
parámetros del módulo IGBT EUPEC de 1,7kV/600A modelo FZ600R17KE3, que
se detallan en la tabla 4.3.
4.3.
PÉRDIDAS TOTALES
Finalmente, resta por mostrar las pérdidas totales, que corresponden a la suma
de las pérdidas de conmutación y las de conducción, es decir,
Ploss = Psw + Pcond
(4.28)
La figuras 4.9 y 4.10 muestran las pérdidas totales por dispositivo y para un
63
S1 / S4
D1 / D4
1500
Pcond (W)
Pcond (W)
3000
2000
1000
0
1000
750
500
250
Iph,pk (A)
0
0
1000
500
0
1000
150 180
90 120
60
30
φ (deg)
750
500
250
Iph,pk (A)
0
S2 / S3
Pcond (W)
Pcond (W)
1000
500
750
500
250
Iph,pk (A)
0
0
500
0
1000
150 180
90 120
60
30
φ (deg)
750
500
250
Iph,pk (A)
0
SH
φ (deg)
1500
Pcond (W)
Pcond (W)
0
150 180
90 120
60
30
DH
1500
1000
500
0
1000
φ (deg)
D2 / D3
1000
0
1000
0
150 180
90 120
60
30
750
500
250
Iph,pk (A)
0
0
150 180
90 120
60
30
φ (deg)
1000
500
0
1000
750
500
250
Iph,pk (A)
0
0
150 180
90 120
60
30
Figura 4.7: Pérdidas de conducción por dispositivo
φ (deg)
64
4
x 10
Pcond,tot (W)
4
3
2
1
0
1000
750
500
250
Iph,pk (A)
0
0
30
60
90
120
150
180
φ (deg)
Figura 4.8: Pérdidas de conducción totales (inversor trifásico)
inversor trifásico, respectivamente. Con respecto a las pérdidas totales del inversor,
se puede apreciar que estas son levemente dependientes del ángulo de carga φ,
descendiendo a medida que φ se acerca a 180◦ , y directamente proporcionales a
la magnitud de la corriente en la carga.
En lo que a cada dispositivo respecta, los interruptores S1 y S4 serán los más
exigidos térmicamente, si consideramos que el inversor está pensado para operar
con bajos ángulos de carga (FP≈0,8-0,9). Esto implica que ellos serán decisivos
en la potencia de salida del inversor, pues alcanzarán las temperaturas más altas
del circuito e impondrán la corriente máxima que sea capaz de entregar el inversor.
Por otro lado, D1 y D4 junto con S2 y S3 estarán sustancialmente menos ocupados,
lo que significa menores requerimientos de disipación de calor. En un punto intermedio se ubican los diodos D2 y D3 , que para bajos φ tendrán aproximadamente
un tercio de las pérdidas observadas en S1 y S4 . Finalmente, los interruptores del
puente H serán exigidos medianamente, mientras que los diodos permanecerán
en descanso.
4.4.
MODELO DE PÉRDIDAS NUMÉRICO
El método analítico desarrollado anteriormente tiene el problema de ser poco
exacto, debido a las diversas simplificaciones asumidas. Sin embargo, permite
obtener una buena representación de la distribución de las pérdidas y de cómo
estas varían en función de otros parámetros. Si lo que se desea es una mayor
exactitud, entonces es necesario recurrir a un modelo numérico más detallado. En
65
S1 / S4
D1 / D4
1500
Ploss (W)
Ploss (W)
3000
2000
1000
0
1000
750
500
250
Iph,pk (A)
0
0
1000
500
0
1000
150 180
90 120
60
30
φ (deg)
750
500
250
Iph,pk (A)
0
S2 / S3
Ploss (W)
Ploss (W)
1000
500
750
500
250
Iph,pk (A)
0
0
500
0
1000
150 180
90 120
60
30
φ (deg)
750
500
250
Iph,pk (A)
0
SH
φ (deg)
1500
Ploss (W)
Ploss (W)
0
150 180
90 120
60
30
DH
1500
1000
500
0
1000
φ (deg)
D2 / D3
1000
0
1000
0
150 180
90 120
60
30
750
500
250
Iph,pk (A)
0
0
150 180
90 120
60
30
φ (deg)
1000
500
0
1000
750
500
250
Iph,pk (A)
0
0
Figura 4.9: Pérdidas totales por dispositivo
150 180
90 120
60
30
φ (deg)
66
4
x 10
Ploss,tot (W)
4
3
2
1
0
1000
750
500
250
Iph,pk (A)
0
0
30
60
90
120
150
180
φ (deg)
Figura 4.10: Pérdidas totales (inversor trifásico)
esta sección se explica el modelo de pérdidas desarrollado con este fin a través
del programa MATLAB.
4.4.1.
Modelo de los módulos IGBT/Diodo
El primer paso en este modelo es modelar adecuadamente los semiconductores. Las aproximaciones utilizadas han sido descritas y utilizadas en otros trabajos,
tales como [23–25]. La característica de encendido (on-state) puede ser reemplazada por
v (t) = V0,x + Acon,x i(t)Bcon,x
(4.29)
Análogamente, las energías de conmutación se pueden representar a través de
Vcom
· Asw ,on,x i(t)Bsw ,on,x
Vr ef
Vcom
=
· Asw ,off ,x i(t)Bsw ,off ,x
Vr ef
Eon =
(4.30a)
Eoff
(4.30b)
(4.30c)
V0,x es parámetro de la hoja de datos, Acon,x y Bcon,x son las constantes entregadas por el ajuste de primer orden de la curva característica para x. Asw ,on,x , Bsw ,on,x ,
Asw ,off ,x , Bsw ,off ,x son los parámetros resultantes del ajuste de curvas para las energías de encendido y apagado del dispositivo x. Vcom es la tensión aplicada en
los terminales del semiconductor durante la conmutación y Vr ef es la tensión de
referencia a la que fueron medidas las energías.
En la figura 4.11 se pueden observar las curvas aproximadas obtenidas a través
Rth = Rth,jc + Rth,ch
Rth,jc + Rth,ch
Rth =
cf
Figure 4-6 Characteristics of current sharing for two connected modules in parallel
67
1600
1400
1200
1000
5
Acon,T = roT = 0.033603
Bcon,T = 0.6876
Uo,T = 1
Acon,D = roD = 0.015314
Bcon,D = 0.72534
Uo,D = 0.8
fitting
4
3
UCE
600
UCE
400
UF
200
UF
1
2
Eon
data sheet
Eoff
800
0
0
Eon
3
4
UCE / UF [V]
5
2
fitting
data sheet
Erec
Erec
fitting
data sheet
fitting
data sheet
1
fitting
data sheet
6
Eoff
Aon,T = 0.00095947
Bon,T = 1.1154
Aoff,T = 0.0037716
Boff,T = 0.84186
Aoff,D = 0.059062
Boff,D = 0.42271
0
0
7
200
(a)
400
600
800 1000 1200 1400 1600
IC [A]
(b)
Figura 4.11: Aproximación de las características a través de ajuste de primer orden:
Figure
4-7 Approximation
characteristics
based ondethe
curve-fitting (T=IGBT,
method: (a)
IGBT/Diode
(a) Característica
de encendido,
(b) Energías
conmutación
D=Diodo,
on-state
characteristics,
(b)
IGBT
turn-on
and
IGBT/Diode
turn-off
switching
Módulo IGBT FZ800R33KF2C de Eupec, Vr ef =1800V,Tj =125◦ C) [25]
energy (FZ800R33KF2C IGBT-module from Eupec, UCE = 1800V, Tj,max = 125°C)
delDC
ajuste
primer orden
para un dispositivo en particular [25]. Los parámetros
4.2.2.
Linkde
Capacitor
Models
de los modelos para diferentes módulos IGBTs de media tensión se encuentran
The instantaneous dc link current values of the grid side and the machine side converter are
expuestos
en elThe
apéndice
D.
generally
different.
dc link capacitor
serves for the decoupling of both sides from each other.
This section discusses the modelling process of a dc link capacitor.
4.4.2.
Cálculo de pérdidas
Las pérdidas de conducción se pueden calcular a través de (4.18). Combinando
esa expresión con (4.29) se llega
Pcond
1
=
T
T
Z
(V0,x + Acon,x i(t)Bcon,x ) · i(t)dt
(4.31)
0
Para un cálculo discreto con n puntos, la ecuación anterior se convierte en
n
Pcond
1X
=
(V0,x + Acon,x i(tk )Bcon,x ) · i(tk )
n k=1
(4.32)
Las pérdidas de conmutación se calculan de la siguiente manera:
Psw ,x
1
=
T
Z
T
Esw ,x dt
0
(4.33)
68
donde
Esw ,S = Eon,S + Eoff ,S
(4.34a)
Esw ,D = Eoff ,D
(4.34b)
Esto implica que es necesario identificar los momentos de encendido y apagado
de los dispositivos y evaluar las energías involucradas de cada uno de ellos.
Todos los cálculos anteriores fueron implementados en lenguaje MATLAB, el
algoritmo se expone íntegramente en el apéndice C.
4.5.
COMPARACIÓN MÉTODO ANALÍTICO Y NUMÉRICO
Si bien el modelo numérico tiene una formulación más precisa, sería un arduo
trabajo generar una gráfica de las pérdidas cómo las de la figura 4.9. Por este
motivo en esta sección se presenta una comparación de ambos métodos anteriormente expuestos para un punto de trabajo en particular. Los resultados se detallan
en la figura 4.12.
De ella se extrae que la estimación de pérdidas de conmutación mediante el
método analítico es bastante acertada y apenas se diferencia del método numérico. Por otro lado, hay una gran diferencia en el cálculo de las pérdidas de conmutación, siendo estas aproximadamente un 25 % mayores para el método analítico,
lo que se puede deber a las variadas simplificaciones supuestas. Sin embargo, las
proporciones se entre un dispositivo y otro se mantienen, permitiendo así que los
resultados del método analítico sean válidos cualitativamente.
4.6.
CONCLUSIONES
En este capítulo se realizó un análisis completo de las pérdidas para el inversor
estudiado. Se obtuvieron expresiones analíticas que permiten calcular, de manera
aproximada, las pérdidas de conducción y de conmutación en cualquiera de los
componentes para un ángulo de carga variando entre 0 y 180◦ . Además, a modo
de ejemplo, se tomó un módulo IGBT con diodo en antiparalelo para graficar el
comportamiento de estas expresiones, tomando como variables la corriente de
69
Pérd. de conducción [W]
1500
1000
500
0
Pérd. de conmutación [W]
400
S1/S4
S2/S3
250
MATLAB
Teórico
200
150
100
50
0
S1/S4
S2/S3
SH
300
200
100
0
SH
Pérd. de conmutación [W]
Pérd. de conducción [W]
2000
D1/D4
D2/D3
DH
D1/D4
D2/D3
DH
100
80
60
40
20
0
Figura 4.12: Comparación método analítico y numérico para cálculo de pérdidas
(fsw =750Hz, Vll,r ms,1 =2.3kV, Iph,r ms =600A, F P=0.9, módulos FZ600R17KE3 (rápidos) y FZ800R33KF2C (lentos))
70
fase iph y el ángulo de carga φ. Las pérdidas totales –de conducción, conmutación
y del inversor en su conjunto– demostraron ser levemente dependientes del ángulo
de carga y directamente proporcionales a la corriente.
También se desarrolló un método de cálculo de pérdidas numérico que tiene
una mayor exactitud. De la comparación se determinó que el método analítico es
acertado para predecir las pérdidas de conmutación pero sobrestima las pérdidas de conducción. Sin embargo, los resultados expuestos mantienen una validez
cualitativa. El método numérico, dada sus ventajas, será el utilizado en el capítulo
siguiente.
CAPÍTULO 5
ANÁLISIS COMPARATIVO DEL INVERSOR
5.1.
PRESENTACIÓN DE LAS TOPOLOGÍAS
En esta sección se presentan las diferentes topologías que son utilizadas en la
comparación. No es el objetivo de esta tesis describir el funcionamiento de estas,
por lo que sólo serán presentadas brevemente. Para mayor información al respecto, dirigirse a las referencias indicadas en cada caso o al artículo [26].
5.1.1.
Diode Clamped (DCL VSC)
Esta topología es una de las más difundidas para inversores multinivel. La idea
original está descrita en [27] y [28]. Su versión para 3 niveles, conocida como NPC
(Neutral Point Clamped) forma parte de algunos inversores a nivel comercial (p.
ej. Siemens SINAMICS [29]). Para mayores niveles ha sido difícil implementarla,
debido a problemas en el balance de los condensadores del enlace CC (ver sec.
3.2) y en el gran número de componentes requeridos [25,30]. La figura 5.1 muestra
una de las fases para las versiones de 3 y 5 niveles.
5.1.2.
Condensador Flotante (FLC VSC)
Topología ampliamente difundida, también se cuenta dentro de las que han
alcanzado la etapa comercial. Originalmente propuesta en [31], supone la conexión
de células de conmutación cuya tensión se encuentra fijada por condensadores.
Sus usos han estado orientados al área de tracción y convertidores industriales
para media tensión [32]. La figura 5.2 muestra una de las fases para las versiones
de 3 y 5 niveles.
72
Vdc
Vdc
a
a
(a) NPC
(b) 5L-DCL
Figura 5.1: Topología Diode Clamped en 3 y 5 niveles (DCL VSC)
73
Vdc
Vdc
a
a
(a) FLC
(b) 5L-FLC
Figura 5.2: Topología Condensador Flotante en 3 y 5 niveles (FLC VSC)
74
a
Vdc
Vdc
n
Figura 5.3: Topología Puente H de 2 niveles conectado en serie para 5 niveles de
salida (SC2LHB VSC)
5.1.3.
Puente H de 2 niveles conectado en serie (SC2LHB VSC)
Esta topología consiste en m células aisladas compuestas por un puente H capaz de generar 2 niveles, las que, conectadas en serie, permiten la obtención de
una tensión de L niveles de salida por fase, según la relación L = 2m + 1. Tiene
la ventaja que puede alcanzar altos niveles en la tensión de salida conectando
en serie celdas que soportan una pequeña parte de la tensión total. Además, su
modularidad simplifica el diseño físico. La idea original se puede ver en la patente [33] obtenida por Robicon (ahora parte de Siemens). Alguno de los convertidores actuales que utilizan esta tecnología son el ROBICON Perfect Harmony de
Siemens [29] y el TMdrive-MV de TM GE [34]. La figura 5.3 muestra la configuracion de 2 células conectadas en serie, lo que permite la obtención de 5 niveles en
la salida por fase. Será necesario contar con un transformador capaz de entregar
6 salidas aisladas para alimentar a cada célula.
5.1.4.
Puente H NPC (NPCHB VSC)
La idea de células compuestas por un puente H de 2 niveles puede ser extendida a un puente H con otras topologías multinivel, como FLC o NPC. En este caso,
se eligió arbitrariamente la NPC, pues no hay ningún registro de alguna que haya
alcanzado la fase comercial. La conexión en serie sigue siendo válida y permite
75
a
Vdc
n
Figura 5.4: Topologia de puente H NPC (NPCHB VSC)
incluso la combinación de células de diferentes topologías [35]. En la figura 5.4 se
puede apreciar el caso de un puente H basado en el NPC, con el cual se obtienen 5 niveles de salida por fase. Al igual que el caso anterior, se requiere de un
tranformador con salidas aisladas (3) para alimentar a cada una de las fases.
5.2.
NÚMERO DE COMPONENTES
Un indicador importante al momento de comparar diferentes topologías es la
cantidad de componentes de cada una de ellas. Si bien no implica un aumento en
el costo directamente, pues en algunos casos se requieren más módulos pero de
menores niveles de tensión, sí hay un aumento en la complejidad del sistema de
comando y de la disposición física del circuito.
Para este análisis se eligieron sólo topologías con 5 niveles de tensión por fase
para compararlas con el inversor IH3ΦFB-CT, de ahora en adelante IHFBCT. El fijar
como punto de comparación la forma de onda de salida de 5 niveles igual para
todas las topologías, permite comparar la cantidad de componentes necesarios
para obtener una forma de onda de salida equivalente. Sin embargo, para que la
comparación sea válida, es necesario tener en cuenta los siguientes aspectos:
El número de los componentes calculado considera un esfuerzo de tensión
comparable entre las diferentes topologías. Por ejemplo, la tensión que de-
76
ben soportar los diodos del 5L-DCL es equivalente a la de cada uno de los
módulos IGBT ahí utilizados. Análogamente, el numero de condensadores
flotantes requeridos para el 5L-FLC serán calculados tomando en cuenta la
tensión de bloqueo de los condensadores del enlace CC. Además, estos niveles deben ser comparables también entre una topología y otra.
En el caso del IHFBCT, para tensiones Vll,r ms > 6kV se sobrepasa el nivel
de bloqueo de tensión de los semiconductores de potencia actuales a nivel
comercial. Los módulos afectados (del puente H) deberán ser reemplazados por 2 módulos conectados en serie. Los límites de tensión en las otras
topologías no fueron considerados, pero no por eso dejan de existir.
Al conectar módulos en serie es necesario implementar un sistema de balance estático y dinámico, para que la tensión tanto en el bloqueo como al
momento de la conmutación se distribuya equitativamente entre ambos [22].
Para una descripción más detallada acerca de estos circuitos, referirse al
apéndice B.
Los resultados se encuentran resumidos en la tabla 5.1 y en la figura 5.5. De
esta se extrae que el IHFBCT presenta un número reducido de componentes para tensiones de línea menores a 6kV, en comparación con las otras topologías
de 5 niveles (L=5) existentes, lo que es a todas luces una ventaja. La topología
Diode Clamped (DCL) muestra un considerable aumento en los diodos de enclavado para cada nivel extra de tensión. Esto, junto a los problemas de balance de
los múltiples condensadores del enlace CC hacen a esta topología muy difícil de
implementar para L>3. Los inversores de condensador flotante (FLC) tienen, por
su parte, la desventaja del alto número de condensadores requeridos. El inversor
SC2LHB, por otro lado, tiene un número reducido de componentes, aunque requiere de un transformador más complejo para brindar las 6 fuentes CC requeridas. Sin
embargo, es una sólida topología cuando se trabaja con altas tensiones, debido a
su modularidad y simplicidad. El NPCHB es comparable al IHFBCT, aunque necesita varios diodos de enclavado extra, por lo que sólo se hace atractivo al trabajar
con tensiones de línea superiores a 6kV, que es cuando el IHFBCT requiere de
circuitos adicionales para la conexión en serie de sus módulos.
77
90
Módulos IGBT/Diodo
Condensadores enlace CC
Fuentes CC separadas
Diodos de enclavado
Condensadores flotantes
Circuitos de balance
80
Número de componentes
70
60
50
40
30
20
10
0
DCL
FLC
SC2LHB NPCHB
Vll<6kV
Vll>6kV
IHFBCT
IHFBCT
Figura 5.5: Número de componentes para topologías de 5 niveles
Tabla 5.1: Número de componentes para topologías de 5 niveles
Topología 5L
DCL
FLC
NPCHB
IHFBCTa
IHFBCTb
SC2LHB
Módulos (IGBT/D)
Cond. enlace CC
Fuentes CC aisladas
Diodos de enclavado
Cond. flotantes
Circuitos de balance
24
4
1
36
0
0
24
4
1
0
18
0
24
6
6
0
0
0
24
6
3
12
0
0
24
6
3
0
0
0
36
6
3
0
0
24
Total
65
47
36
45
33
69
a Vll,r ms < 6kV
b 6kV ≤ Vll,r ms < 9kV
5.3.
5.3.1.
USO DE LOS SEMICONDUCTORES Y DISTRIBUCIÓN DE PÉRDIDAS
Metodología
Para comparar el uso de los semiconductores y la distribuación de las pérdidas
del IHFBCT con otras topologías se toma como base el trabajo de S. S. Fazel [25],
78
quien hace una detallada comparación de topologías multinivel. A continuación se
describe lo medular de la metodología utilizada en su trabajo.
5.3.1.1.
Modulación con inyección de tercera armónica
Para los diferentes cálculos realizados se considera una modulación por ancho de pulso (PWM) de tipo sinusoidal con inyección de tercera armónica, lo que
permite extender el rango lineal de ma hasta 1,15. La moduladora entonces, corresponderá a
1
(5.1)
Vm = ma sin ωt + sin 3ωt
6
La tensión de línea no presentará contenido armónico adicional debido a este
tipo de modulación, pues la armónicas inyectadas en cada fase se cancelan entre
sí.
5.3.1.2.
Modelo de pérdidas
En los cálculos realizados se utiliza el modelo de pérdidas numérico desarrollado a través de MATLAB (ver sec. 4.4). Los modelos de los semiconductores
se extraen de [25] o, en algunos casos, han sido calculados para esta tesis (ver
apend. D). El método utilizado con este fin consta de los siguientes pasos:
1. Obtener de la hoja de datos del semiconductor que se desea modelar las
curvas características del IGBT, del diodo y de las energías de conmutación.
2. Con la ayuda de la aplicación para MATLAB GrabIt, extraer los puntos de
cada una de las curvas.
3. Ajustar una curva a los datos extraídos, utilizando la herramienta de MATLAB
cftool.
5.3.1.3.
Corriente nominal ideal
Al momento de querer comparar diferentes topologías nos enfrentamos a un
problema: ¿Cómo diferenciar el uso que hacen de los semiconductores las diferentes topologías y estrategias de modulación? Es decir, si un determinado convertidor distribuye mejor sus pérdidas en sus componentes, estos no alcanzarán
temperaturas extremas y podrá funcionar más “frío” o aumentar la potencia de salida hasta llegar a la temperatura de juntura (junction) máxima admisible (Tj,max ),
power loss calculations of different converter topologies. The output characteristics of the
IGBT/diode modules have been approximated based on data contained in the module
specification sheets.
79
Figure 4-7 depicts the simulation results for the FZ800R33KF2C IGBT-module from Eupec.
IC
IC
IC
IC
cf
cf
UCE
U CE
Factor de corriente
cf
Ploss (UCE , IC)
cf Ploss (UCE ,
Ploss
Rth
IC
cf
)
Ploss
Rth
Factor de corriente
cf
cf
Rth = Rth,jc + Rth,ch
Rth =
Rth,jc + Rth,ch
cf
Figura
gráfica
del factor
de for
corriente
para elmodules
caso cf in
=2parallel
[25]
Figure5.6:
4-6 Explicación
Characteristics
of current
sharing
two connected
que1600
para este trabajo es de 125◦ C. Por otro 5lado, un convertidor que sobrecarga
Eon
= roT = 0.033603
Aon,T = 0.00095947
mucho
aAcon,T
uno
de sus componentes llegará con más
facilidad
a Tj,max , merman1400 B
= 0.6876
con,T
Bon,T = 1.1154
E
Uo,T =
1
on
do 1200
así su
capacidad.
En otras palabras, se 4requiere
de un
que tome
Aoff,Tparámetro
= 0.0037716
Acon,D = roD = 0.015314
Boff,T = 0.84186
Eoff
Bcon,Dlas
= 0.72534
en cuenta
temperaturas de operación de los semiconductores
al momento de
Aoff,D = 0.059062
1000
3
Uo,D = 0.8
Eoff
Boff,D = 0.42271
hacer
800 la comparación.
UCE
Erec
2
Con
[24, 25, 36, 37] el concepto de co600 este fin se ha utilizado en otros trabajos
UCE
Erec
rriente
en
fijar
un
valor
para Tj,max y luego aplicar
400 nominal ideal IC ,n . Este consiste
UF
1
un factor
de corriente (cf ) que permite
aumentar o disminuir el área de silicio (sili200
UF
0
0
con area)
del
dispositivo
para
que 6éste 7alcance
la200temperatura
definida en T 1600.
0
1
2
3
4
5
0
400 600 800 1000 1200 1400j,max
UCE / UFde
[V] lo anterior para cf =2 se puede observar
IC [A]
Una explicación gráfica
en la figura
5.6. En este caso, el
(a)area de silicio se ha aumentado al doble
(b) (2 dispositivos conectados en paralelo). La capacidad de corriente ha aumentado al doble también,
por lo 4-7
que Approximation
el valor de corriente
nominalbased
ideal on
corresponderá
a method: (a) IGBT/Diode
Figure
characteristics
the curve-fitting
fitting
data sheet
fitting
data sheet
fitting
fitting
data sheet
data sheet
fitting
data sheet
on-state characteristics, (b) IGBT turn-on and IGBT/Diode turn-off switching
energy (FZ800R33KF2C IGBT-module from Eupec, UCE = 1800V, Tj,max = 125°C)
IC ,n@Tj,max = cf · IC ,nom
(5.2)
4.2.2. DC Link Capacitor Models
donde IC ,nom es la corriente nominal que aparece en la hoja de datos.
The instantaneous
dc linkaun
current
of the gridse
side
andcalcular
the machine
side converter
Queda por definir
bajo values
qué condiciones
debe
la corriente
IC ,n . En are
generally different. The dc link capacitor serves for the decoupling of both sides from each other.
This section discusses the modelling process of a dc link capacitor.
80
Tabla 5.2: Puntos de operación críticos para el cálculo de la corriente nominal ideal
IC ,n
OP
Vdc
Iph,r ms,1
cos φ
ma
1
2
3
4
5
6
+10 %
-10 %
+10 %
-10 %
-10 %
-10 %
-10 %
+10 %
-10 %
+10 %
+10 %
+10 %
1
1
-1
-1
1
-1
1,15
1,15
1,15
1,15
0
0
Juntura
Caja
Disipador
Ambiente
Junction
Case
Heat sink
Ambient
Rth,jcD
Ploss,T
Tj(T)
Rth,ch
Rth,ha
Rth,jcT
Ta
Ploss,D
Tj(D)
Figura 5.7: Modelo para cálculos térmicos [25]
los trabajos [25, 36, 37] utilizan 6 puntos de operación (OP) que suponen máximo
esfuerzo para alguno de los componentes del inversor. Se calculan 6 valores de
IC ,n (uno para cada OP) y luego se escoge el máximo de ellos. La tabla 5.2 muestra
los diferentes puntos de operación.
5.3.1.4.
Cálculo térmico
Del cálculo de IC ,n@Tj,max se extrae que es necesario calcular la temperatura de
cada uno de los dispositivos. El modelo térmico utilizado con este fin se puede
apreciar en la figura 5.7. Para todos los casos aquí analizados se supone una
temperatura en el disipador constante de Th =80◦ C. Con ayuda de un algoritmo se
va iterando para diferentes cf hasta llegar a la temperatura Tj,max deseada.
5.3.1.5.
Potencia de Interruptores Instalada
El concepto de Potencia de Interruptores Instalada (Installed Switch Power)
SS es un indicador que resume todo el comportamiento térmico (pérdidas) de un
81
convertidor, como también las necesidades que una determinada topología tiene
de bloqueo de tensión. A mayor SS , mayor será el costo. Se define de la siguiente
manera:
SS = Vcom,S · IC ,n · nS + 0,5 · Vcom,D · IF ,n · nD
(5.3)
donde nS y nD representan el número de IGBTs y diodos requeridos, respectivamente. El factor de 0,5 se debe a que el área de silicio ocupada por los diodos es
generalmente la mitad de la ocupada por los IGBT [38].
Otro indicador derivado de este último es la Potencia de Interruptores Instalada Relativa SSR , que compara la SS de un convertidor determinado con la SS del
convertidor NPC (3L) para las mismas condiciones de operación.
SSR =
5.3.2.
SS
SS,3L-NPC VSC
(5.4)
Resultados
Los resultados obtenidos en [25] se muestran en las tablas 5.3 y 5.4 para diferentes niveles de tensión de línea y frecuencias de conmutación. La última columna
ha sido agregada en este trabajo y corresponde al análisis para el 5L-IHFBCT. El
método de cálculo fue replicado para que así los resultados sean comparables.
Dada la naturaleza híbrida del convertidor estudiado, fue necesario trabajar con 2
tipos de semiconductores, uno para la CT y otro para el puente H. A cada uno de
ellos le corresponde un factor de corriente cf diferente y, por ende, una IC ,n distinta.
Gráficos con la potencia de interruptores instalada y la distribución de las pérdidas
se pueden apreciar en las figuras 5.8 y 5.9.
El análisis hecho en [25] considera diferentes topologías según el nivel de tensión de salida con el que se trabaje. La lógica tras esto es la siguiente: El SC2LHB
fue diseñado para trabajar siempre con IGBTs de baja tensión (1,7kV). Esto implica
que para alcanzar tensiones de línea mayores, es necesario agregar más celulas
en serie, lo que implica un aumento en los niveles. Por eso, para Vll,r ms,1 =2,3kV
se considera un SC2LHB de 5 y 7 niveles, y para el caso de Vll,r ms,1 =6kV uno de
11 niveles. La topolgía NPC no tiene esa modularidad, por lo que se trabaja con
3 configuraciones de esa topología basada en la conexión en serie de los semiconductores. Para 2,3kV no hay problema y basta con IGBTs de 3,3kV. Para 3,3kV
y 4,16kV se trabajo con 2 versiones, una constituida por módulos IGBTs simples
82
y otra con 2 módulos conectados en serie. Análogamente, para 6kV se ocuparon
configuraciones con 2 y 3 IGBTs conectados en serie. La conexión es supuesta
ideal para mayor simpleza en el cálculo.
Del análisis del IHFBCT en comparación con las otras topologías estudiadas se
pueden extraer algunas conclusiones acerca de su comportamiento y conveniencia. La figura 5.8 muestra claramente que el inversor IHFBCT no se caracteriza
por hacer un uso bajo o moderado de los seminconductores. Al contrario, de las
diferentes topologías analizadas presenta los mayores niveles de SSR , es decir, requiere de mayores gastos en disipación de calor y/o semiconductores con corrientes nominales más altas. Sólo logra superar al NPC en los casos de fsw =1050Hz
y tensiones de salida altas (4,16kV y 6,6kV), además del caso de fsw =750Hz y
Vll,r ms,1 =6kV. Por otro lado, la topologías SC2LHB y NPC con módulos conectados
en serie (NPCb) tienen una SSR siempre menor que la del IHFBCT. Esta desventaja tiene como explicación que el uso de los interruptores de la CT está muy lejos
de ser equilibrado. Como se aprecia en la figura 4.9 los IGBTs S1 y S4 se llevan
toda la carga, mientras que S2 y S3 permanecen sin ocuparse. Esto es valido para el caso FP=1. La operación en los otros puntos críticos de la tabla 5.2 hará
que sean los otros componentes de la CT los que se lleven toda la carga, por lo
que será necesario que todos tengan la misma capacidad térmica. Además, dada
la configuración de puente H, la corriente que fluye a través de los interruptores
es una sinusoide rectificada, presente en ambos semiciclos de la fundamental, a
diferencia de las topologías NPC y SC2LHB, en donde la utilización de los semiconductores se remite a un semiciclo solamente. Todo esto tiene un efecto que se
ve reflejado en la corriente nominal ideal IC ,n y, en consecuencia, en el valor de
SSR .
En lo que a pérdidas totales y distribución de las mismas respecta, el IHFBCT
ofrece un rendimiento promedio, por denominarlo de algún modo. Es decir, en algunos casos tiene pérdidas levemente menores que otros convertidores, mientras
que otro estas son levemente mayores. Sin embargo en ningún caso de los estudiados se registran pérdidas excepcionalmente bajas o altas. En el comportamiento individual, exhibe las características esperables de un convertidor: Pérdidas de
conducción mínimas para baja fsw y Vll y máximas para alta fsw y Vll , pérdidas de
conducción independientes de fsw y proporcionales a Vll . (El aumento de las Pcond
en Vll,r ms,1 =3,3kV se ve amortiguado por los tipos de semiconductores seleccionados.)
83
En las tablas también se coloca el parámetro f1C b que se refiere a la frecuencia
en torno a la cual se centra la primera banda de portadora (carrier band). Mientras
más alta sea, menor será el filtro de salida requerido, ahorrando así espacio y
dinero. El IHFBCT tiene una f1C b constante igual a 2fsw , mejor que en el caso del
NPC. Sin embargo, la gran ganadora en este sentido es claramente la SC2LHB,
pues mientras más grande sea el número de células conectadas entre sí, mayor
será la f1C b .
Topología
IGBT/Diodo
Modelo dispositivo
Vcom [V]
Vcom@100F I T [V]
Vcom /Vcom@100F I T
fsw [Hz]
f1C b [Hz]
IC ,n (IF ,n ) [A]
SS [MVA]
SSR [ %]
Topología
Módulo IGBT
Modelo
Vcom [V]
Vcom@100F I T [V]
Vcom /Vcom@100F I T
fsw [Hz]
f1C b [Hz]
IC ,n (IF ,n ) [A]
SS [MVA]
SSR [ %]
5L-SCH2LB
1,7kV/600A
FZ600R17KE3
845,7
900
0,94
450
750
1050
1800
3000
4200
610
630
648
37,31 38,56 39,66
89 %
84 %
82 %
7L-SCH2LB VSC
1,7kV/600A
FZ600R17KE3
563,8
900
0,63
450
450
1050
2700
4500
6300
602
613
626
55,30 56,29
57,5
132 % 123 % 119 %
3L-NPC VSC
4,5kV/600A
2 (3,3kV/800A)
CM600HB-90H
2 (FZ800R33KF2)
2426,7
2 (1213,35)
2250
2 (1800)
1,08
0,67
450
750
1050
450
750
1050
450
750
1050
450
750
1050
642
783
1015
1054
1124
1216
60,67
74
95,94
73,1
77,95 84,27
100 % 100 % 100 % 120 % 105 % 88 %
7L-SCH2LB VSC
1,7kV/600A
FZ600R17KE3
808,9
900
0,90
450
750
1050
2700
4500
6300
610
627
645
55,96 57,56 59,21
92 %
78 %
62 %
Tensión de línea Vll ,r ms ,1 = 3,3kV (ma = 1,11)
3L-NPC VSC
3,3kV/800A
FZ800R33KF2
1691,4
1800
0,94
450
750
1050
450
750
1050
605
660
698
41,91 45,74 48,34
100 % 100 % 100 %
Tensión de línea Vll ,r ms ,1 = 2,3kV (ma = 1,11)
(continúa en la pag. siguiente)
5L-IHFBCT VSC
2,5kV/1000A
4,5kV/600A
FZ1000R25KF1 CM600HB-90H
1213,35
2426,7
1200
2250
1,01
1,08
450
750
1050
900
1500
2100
1107/582 1265/582 1393/582
97,0
104,1
109,8
160 %
141 %
114 %
5L-IHFBCT VSC
1,7kV/600A
3,3kV/800A
FZ600R17KE3
FZ800R33KF2
845,7
1691,4
900
1800
0,94
0,94
450
750
1050
900
1500
2100
1190/470 1245/470 1303/470
64,3
66,0
67,8
154 %
144 %
140 %
Tabla 5.3: Comparación de la utilización de los semiconductores (Iph,r ms,1 =600A, fsin =50Hz, ma =1.11, Tj,max =125◦ C) [25]
84
Topología
IGBT/Diodo
Modelo dispositivo
Vcom [V]
Vcom@100F I T [V]
Vcom /Vcom@100F I T
fsw [Hz]
f1C b [Hz]
IC ,n (IF ,n ) [A]
SS [MVA]
SSR [ %]
Topología
IGBT/Diodo
Modelo dispositivo
Vcom [V]
Vcom@100F I T [V]
Vcom /Vcom@100F I T
fsw [Hz]
f1C b [Hz]
IC ,n (IF ,n ) [A]
SS [MVA]
SSR [ %]
9L-SCH2LB VSC
1,7kV/600A
FZ600R17KE3
764,8
900
0,85
450
750
1050
3600
6000 8400
607
623
641
74,32
76,3 78,43
73,5 % 60 %
50 %
3L-NPC VSC
2 (6,5kV/600A)
3 (3,3kV/800A)
2 (FZ600R65KF1)
3 (FZ800R33KF2)
2 (2206,2)
3 (1470,7)
2 (3600)
3 (1800)
0,61
0,82
450
750
1050
450
750
1050
900
1500
2100
900
1500 2100
1224
1572
1932
1620
1752 1942
167,1 214,6 263,7
112,3 121,4 134,6
100 % 100 % 100 %
67 %
57 %
51 %
11L-SCH2LB VSC
1,7kV/600A
FZ600R17KE3
882,5
900
0,98
450
750
1050
4500
7500 10500
611
630
651
93,5
96,4
99,6
56 %
45 %
38 %
Tensión de línea Vll ,r ms ,1 = 6kV (ma = 1,11)
3L-NPC VSC
6,5kV/600A
2 (3,3kV/800A)
FZ600R65KF1
2 (FZ800R33KF2)
3059,2
2 (1529,6)
3600
2 (1800)
0,85
0,85
450
750
1050
450
750
1050
450
750
1050
450
750
1050
740
936
1158
1084
1180 1312
101,1 127,8 158,1
75,18 81,83 90,92
100 % 100 % 100 % 74,4 % 64 %
58 %
Tensión de línea Vll ,r ms ,1 = 4,16kV (ma = 1,11)
5L-IFHCT VSC
4,5kV/900A
2 (4,5kV/600A)
CM900HB-90H 2 (CM600HB-90H)
2206,2
2 (2206,2)
2250
2 (2250)
0,98
0,98
450
750
1050
900
1500
2100
957/582 1024/582
1242/582
171,8
177,2
194,9
103 %
80 %
74 %
5L-IHFBCT VSC
3,3kV/800A
6,5kV/600A
FZ800R33KF2
FZ600R65KF1
1529,6
3059,2
1800
3600
0,85
0,85
450
750
1050
900
1500
2100
1165/458 1319/458
1445/458
122,8
131,9
139,4
121 %
103 %
88 %
Tabla 5.4: Comparación de la utilización de los semiconductores (Iph,r ms,1 =600A, fsin =50Hz, ma =1.11, Tj,max =125◦ C)
(continuación) [25]
85
86
5.4.
CONCLUSIONES
Las comparaciones llevadas a cabo permiten sacar algunas interesantes conclusiones. La más importante dice relación con el uso de los semiconductores
dentro del IHFBCT. Este es muy intensivo y lleva a los componentes a altas temperaturas. Esto implica una limitación en la potencia de salida o un mayor costo del
convertidor, debido a los requerimientos de tecnologías más avanzadas de semiconductores y de disipación de calor. La ventaja de que los dispositivos del puente
H conmutan con tensión cero y por lo tanto no reportan pérdidas de conmutación
hacía suponer que esto se reflejaría en las pérdidas totales, haciéndolas más bajas
que las de otro inversor bajo condiciones similares. Esto demostró no ser cierto,
pues esta baja es compensada por la subida en las pérdidas en los semiconductores de la CT. En términos globales, las pérdidas del convertidor están dentro de
lo normal para los de su clase (multiniveles para aplicaciones de media tensión).
Finalmente, el análisis del número de componentes para otras topologías de cinco
niveles reveló que el IHFBCT junto con el SC2LHB son los más simples de las
topologías existentes, totalizando cerca de 35 elementos. Esto hace que sea una
topología de 5 niveles realizable, a diferencia de otras más complejas, como la
DCL y FLC.
0
2,3kV
3,3kV
4,16kV
fsw = 1050 Hz
5L−IHFBCT
5L−IHFBCT
11L−SC2LHB
5L−IHFBCT
11L−SC2LHB
3L−NPCb
3L−NPCa
5L−IHFBCT
9L−SC2LHB
3L−NPCb
3L−NPCa
5L−IHFBCT
7L−SC2LHB
3L−NPCb
3L−NPCa
5L−IHFBCT
7L−SC2LHB
5L−SC2LHB
3L−NPC
50
11L−SC2LHB
4,16kV
3L−NPCb
3L−NPCa
5L−IHFBCT
9L−SC2LHB
4,16kV
3L−NPCb
3L−NPCa
5L−IHFBCT
9L−SC2LHB
3,3kV
3L−NPCb
3L−NPCa
5L−IHFBCT
7L−SC2LHB
3,3kV
3L−NPCb
3L−NPCa
5L−IHFBCT
7L−SC2LHB
2,3kV
3L−NPCb
3L−NPCa
5L−IHFBCT
7L−SC2LHB
2,3kV
3L−NPCb
3L−NPCa
5L−IHFBCT
7L−SC2LHB
5L−SC2LHB
3L−NPC
0
5L−SC2LHB
SSR [W]
0
3L−NPC
SSR [W]
SSR [W]
87
150
100
fsw = 450 Hz
6kV
150
100
50
fsw = 750 Hz
6kV
150
100
50
6kV
Figura 5.8: Potencia de interruptores instalada relativa para diferentes tensiones de salida y frecuencias de conmutación (Iph,r ms,1 =600A, fsin =50Hz, ma =1.11,
Tj,max =125◦ C)
CONVERTER COMPARISON
129
88
60
50
40
P
PconD
P
P
3*3.3kV
2*6.5kV
1.7kV
onT
offT
30
1.7kV
2*3.3kV
2*3.3kV
PoffD
20
1.7kV
6.5kV
1.7kV
1.7kV
3.3kV
4.5kV
10
0
70
140
60
120
50
100
40
80
30
60
20
40
10
20
conT
Distribución de pérdidas [kW]
70
2.3kV
3.3kV
4.16kV
%120
5L−IFHCT
%100
%100
%100
%100
%92
%89
%74 %74
%67
0
6kV
%132
%56
0
2.3kV 4,16kV
3.3kV
2,3kV 3,3kV
6kV
4.16kV
6kV
(a) fC = 450Hz
70
PonT
40
PoffT
30
20
2*6.5kV
PconD
50
3*3.3kV
1.7kV
2*3.3kV
PoffD
1.7kV
2*3.3kV
6.5kV
1.7kV
1.7kV
1.7kV
3.3kV
4.5kV
10
0
%123
120
2.3kV
3.3kV
4.16kV
Distribución de pérdidas [kW]
60
70
PconT
60
100
50
40
30
20
10
0
6kV
%105
%100
%100
%100
%100
%84
%78
80
%64
60
%60
%57
%45
40
20
0
2.3kV 4,16kV
3.3kV
2,3kV 3,3kV
6kV
4.16kV
6kV
(b) fC = 750Hz
P
60
PconD
50
PonT
40
PoffT
1.7kV
2*3.3kV 1.7kV
2*3.3kV
1.7kV
3.3kV
6.5kV
1.7kV
1.7kV
4.5kV
10
0
2.3kV
3.3kV
4.16kV
%119
120
3*3.3kV
PoffD
30
20
70
2*6.5kV
conT
6kV
Distribución de pérdidas [kW]
70
60
100
50
%100
%100
%100
%100
%88
80
%82
40
30
20
10
0
60
%62
%58
%50
%51
%38
40
20
0
2.3kV 4,16kV
3.3kV
2,3kV 3,3kV
6kV
4.16kV
6kV
(c) fC = 1050Hz
Figura 5.9: Distribución de las pérdidas: (a)fsw =450Hz, (b)fsw =750Hz,
Figure 6-17 Semiconductor loss distribution and relative installed switch power occurring at
(c)fsw =1050Hz (Iph,r ms,1 =600A, fsin =50Hz, ma =1.11, cos φ=0.9, Tj,max =125◦ C)
line-to-line output voltages of 2.3kV, 3.3kV, 4.16kV, and 6kV at the different
(gráficos izq. [25])
switching frequencies of 450Hz, 750Hz, and 1050Hz (Iph,rms,1 = 600A, fo = 50Hz, ma =
1.15, cos = 0.9, Tjmax = 125°C), (6.5kV/600A: FZ600R65KF1, 4.5kV/600A:
CM600HB-90H, 3.3kV/800A: FZ800R33KF2, 2.5kV/1000A: FZ1000R25KF1,
1.7kV/600A: FZ600R17KE3)
CONCLUSIONES
Mediante esta tesis se ha logrado profundizar el conocimiento del funcionamiento del inversor híbrido simétrico multinivel desarrollado en el LEP de la PUCV.
En particular, los alcances se pueden resumir en los siguientes puntos:
Se propone una versión generalizada del inversor, que permite escalar el
número de niveles teóricamente al número que se desee.
Se derivaron expresiones analíticas aproximadas de los esfuerzos de corriente para cada uno de los componentes del inversor, además de una expresión
que permite el dimensionamiento de los condensadores del enlace CC.
Se determinaron y comprobaron los esfuerzos de tensión en los semiconductores a través de resultados experimentales.
Con respecto al balance del enlace CC, se pudo determinar mediante resultados experimentales que no existe desbalance, dada las características
simétricas de modulación ocupadas en el convertidor. Este aspecto supone una ventaja con respecto a otras topologías multinivel que hacen uso de
condensadores en serie para sintetizar sus niveles.
Se encontraron expresiones aproximadas de las pérdidas que permiten observar su comportamiento al variar diferentes parámetros, como el factor de
potencia y la corriente en la carga. Esta información se complementa con un
método numérico desarrollado en lenguaje MATLAB que permite estimaciones más exactas.
A través del análisis comparativo del inversor se ha llegado a la conclusión
de que es uno de los más simples convertidores multinivel de 5 niveles, lo
que facilita la posibilidad de ser realizado. Sin embargo, el comportamiento
que este tiene en relación a las exigencias térmicas de los semiconductores
no es favorable. Su manera de funcionar hace que algunos componentes se
vean excesivamente sobrecargados, encareciendo así los costos de disipación de calor y tecnología de semiconductores, en comparación con otros
convertidores actualmente disponibles en el mercado.
90
BIBLIOGRAFÍA
[1] R. Ramos and D. Ruiz(P.G.), “Familia de inversores multinivel híbridos para aplicaciones en alta tensión y alta potencia,” Tesis de Magíster, Pontificia
Universidad Católica de Valparaíso, Valparaíso, Chile, 2006.
[2] R. Ramos, D. Ruiz-Caballero, M. S. Ortmann, and S. A. Mussa, “New symmetrical hybrid multilevel DC-AC converters,” in Proc. IEEE PESC’08, Rhodes,
Greece, Jun. 15–19, 2008.
[3] R. Ramos and D. Ruiz, “Celda inversora multinivel y familia de inversores
multiniveles híbridos para aplicaciones de alta tensión y alta potencia,” Diario
Oficial de Chile, Solicitud de Patente 2050-2006, Dec. 22, 2006.
[4] B. Backlund and E. Carroll, “Voltage ratings of high power semiconductors,”
ABB Switzerland Ltd., Prod. Inf. 5SYA2051, Aug. 2006.
[5] I. M. Salagae and H. du T. Mouton, “Natural balancing of neutral-pointclamped converters under POD pulsewidth modulation,” in Proc. IEEE
PESC’03, vol. 1, Jun. 15–19, 2003, pp. 47–52.
[6] C. Liu, B. Wu, D. Xu, N. Zargari, and S. Rizzo, “Progressive natural balance of
neutral-point voltage of three-level NPC inverter with a modified SVM scheme,”
in Proc. IEEE APEC’06, Mar. 19–23, 2006.
[7] A. R. Beig, G. Narayanan, and V. T. Ranganathan, “Modified SVPWM algorithm for three level VSI with synchronized and symmetrical waveforms,” IEEE
Trans. Ind. Electron., vol. 54, pp. 486–494, Feb. 2007.
[8] Z. Pan, F. Z. Peng, K. A. Corzine, V. Stefanovic, J. Leuthen, and S. Gataric,
“Voltage balancing control of diode-clamped multilevel rectifier/inverter systems,” IEEE Trans. Ind. Appl., vol. 41, pp. 1698–1706, Nov./Dec. 2005.
[9] S. Ogasawara and H. Akagi, “Analysis of variation of neutral point potential
in neutral-point-clamped voltage source PWM inverters,” in Proc. IEEE IAS
Annual Meeting, vol. 2, Toronto, Ont., Canada, Oct. 2–8, 1993, pp. 965–970.
91
[10] A. Hodder, J.-J. Simond, and A. Schwery, “Unbalanced DC-link voltage regulation in a back-to-back 3-level PWM converter for a double-fed induction
motor-generator,” in Proc. IEEE Electric Power Applications, vol. 152, Nov. 4,
2005, pp. 1477–1481.
[11] C. S. Ma, T. J. Kim, D. W. Kang, and D. S. Hyun, “A simple control strategy for
balancing the DC-link voltage of neutral-point-clamped inverter at low modulation index,” in Proc. IEEE IECON’03, vol. 3, Nov. 2–6, 2003, pp. 2167–2172.
[12] G. Sinha and T. A. Lipo, “A four-level inverter based drive with a passive front
end,” IEEE Trans. Power Electron., vol. 15, pp. 285–294, Mar. 2000.
[13] A. Bendre, G. Venkataramanan, D. Rosene, and V. Srinivasan, “Modeling and
design of a neutral-point voltage regulator for a three-level diode-clamped inverter using multiple-carrier modulation,” IEEE Trans. Ind. Electron., vol. 53,
pp. 718–726, Jun. 2006.
[14] K. Yamanaka, A. M. Hava, H. Kirino, Y. Tanaka, N. Koga, and T. Kume, “A
novel neutral point potential stabilization technique using the information of
output current polarities and voltage vector,” IEEE Trans. Ind. Appl., vol. 38,
pp. 1572–1580, Nov./Dec. 2002.
[15] J. Rodríguez, D. Rodríguez, C. Silva, and E. Wiechmann, “A simple neutral
point control for three-level PWM rectifiers,” in 8th European Conference on
Power Electronics and Applications, Lausanne, Suiza, 1999, CD-ROM.
[16] A. Bendre and G. Venkataramanan, “Neutral current ripple minimization in a
three-level rectifier,” IEEE Trans. Ind. Appl., vol. 42, pp. 582–590, Mar./Apr.
2006.
[17] R. S. Kanchan, P. N. Tekwani, and K. Gopakumar, “Three-level inverter scheme with common mode voltage elimination and DC link capacitor voltage balancing for an open-end winding induction motor drive,” IEEE Trans. Power
Electron., vol. 21, pp. 1676–1683, Nov. 2006.
[18] N. Celanovic and D. Boroyevich, “A comprehensive study of neutral-point
voltage balancing problem inthree-level neutral-point-clamped voltage source PWM inverters,” IEEE Trans. Power Electron., vol. 15, pp. 242–249, Mar.
2000.
92
[19] X. Yuan, G. Orglmeister, and W. Merk, “Managing the dc link neutral potential
of the three-phase-four-wireneutral-point-clamped (npc) inverter in facts application,” in Proc. IEEE IECON’99, vol. 2, 1999, pp. 571–576.
[20] J. Pou, D. Boroyevich, and R. Pindado, “Effects of imbalances and nonlinear
loads on the voltage balance of a neutral-point-clamped inverter,” IEEE Trans.
Power Electron., vol. 20, pp. 123–131, Jan. 2005.
[21] J. Pou, R. Pindado, D. Boroyevich, and P. Rodriguez, “Evaluation of the lowfrequency neutral-point voltage oscillations in the three-level inverter,” IEEE
Trans. Ind. Electron., vol. 52, pp. 1582–1588, Dec. 2005.
[22] Semikron. (2008, Jun.) Application manual. [Online]. Available: http:
//www.semikron.com
[23] S. Clemente, “Application characterization of IGBTs,” International Rectifier,
Application Note AN-990.
[24] F. Blaabjerg, U. Jaeger, S. Munk-Nielsen, and J. K. Pedersen, “Power losses
in pwm-vsi inverter using NPT or PT IGBT devices,” IEEE Trans. Power Electron., vol. 10, pp. 358–367, May 1995.
[25] S. S. Fazel, “Investigation and comparison of multi-level converters for medium voltage applications,” Ph.D. dissertation, TU Berlin, Berlin, Germany,
Jun. 2007.
[26] J. Rodriguez, J.-S. Lai, and F. Z. Peng, “Multilevel inverters: A survey of topologies, controls, and applications,” IEEE Trans. Ind. Electron., vol. 49, pp.
724–738, Aug. 2002.
[27] R. H. Baker, “High voltage converter circuit,” U.S. Patent 4 203 151, May 13,
1980.
[28] A. Nabae, I. Takahashi, and H. Akagi, “A new neutral-point-clamped PWM
inverter,” IEEE Trans. Ind. Appl., vol. 17, pp. 518–523, Sep. 1981.
[29] Siemens. (2008, Apr.) Industry automation and drive technologies. [Online].
Available: http://www.automation.siemens.com/
93
[30] J. Pou, “Modulation and control of three-phase PWM multilevel converters,”
Ph.D. dissertation, Technical University of Catalonia, Terrassa, Catalonia,
Spain, Nov. 2002.
[31] T. A. Meynard and H. Foch, “Multi-level conversion: high voltage choppers and
voltage-source inverters,” in Proc. IEEE PESC’92, Toledo, Spain, Jun. 1992.
[32] T. Meynard, H. Foch, P. Thomas, J. Courault, R. Jakob, and M. Nahrstaedt,
“Multicell converters: basic concepts and industry applications,” IEEE Trans.
Ind. Electron., vol. 49, pp. 955–964, Oct. 2002.
[33] P. W. Hammond, “Medium voltage PWM drive and method,” U.S. Patent
5 625 545, Apr. 29, 1997.
[34] T. GE. (2008, Jul.) Medium voltage ac drives. [Online]. Available:
http://www.tmge.com/
[35] K. Corzine and Y. Familiant, “A new cascaded multilevel h-bridge drive,” IEEE
Trans. Power Electron., vol. 17, pp. 125–131, Jan. 2002.
[36] D. Krug, S. Bernet, and S. Dieckerhoff, “Comparison of state-of-the-art voltage
source converter topologies for medium voltage applications,” in Proc. IEEE
IAS Annual Meeting, vol. 1, Oct. 12–16, 2003, pp. 168–175.
[37] S. S. Fazel, D. Krug, T. Taleb, and S. Bernet, “Comparison of power semiconductor utilization, losses and harmonic spectra of state-of-the-art 4.16 kv
multi-level voltage source converters,” in Proc. of 11th European Power Elec.
and Appl. Conf. (EPE), Dresden, Germany, Sep. 11–14, 2005.
[38] T. Schütze, “New RthC H data sheet values,” Eupec, Application Note AN-200401, May 2004.
APÉNDICE A
SIMULACIONES ADICIONALES PARA EL ESTUDIO DEL BALANCE
A-2
APÉNDICE A
SIMULACIONES ADICIONALES PARA EL ESTUDIO DEL BALANCE
La figura A.1 muestra las simulaciones hechas en PSIM bajo las mismas condiciones expuestas en la sección 3.5. El caso de menor frecuencia muestra un desbalance, que los resultados experimentales demostraron que no existe. Esto hace
suponer que el método numérico utilizado por el programa de simulación digital no
es capaz de entregar una representación fiel del comportamiento de la tensión en
los condensadores cuando se trabaja con bajas frecuencias de conmutación.
Tensión [V]
150
100
50
0
Tensión [V]
150
2
4
6
8
10
12
14
16
18
20
4
6
8
10
12
14
16
18
20
4
6
8
10
12
Tiempo [ms]
14
16
18
20
fsw = 800 Hz
100
50
0
150
Tensión [V]
fsw = 300 Hz
2
fsw = 1600 Hz
100
50
0
2
Figura A.1: Simulaciones del desbalance en enlace CC según los parámetros de
la sección 3.5
APÉNDICE B
CIRCUITOS DE BALANCE PARA CONEXIÓN DE SEMICONDUCTORES EN
SERIE
B-2
APÉNDICE B
CIRCUITOS DE BALANCE PARA CONEXIÓN DE SEMICONDUCTORES EN
SERIE
En [22] es posible encontrar una descripción de los métodos de balance dinámico y estático utilizados actualmente para semiconductores de potencia. Existen
métodos pasivos y activos. En esta sección se resumirán las principales ideas
acerca del balance allí presentadas, tomando en cuenta sólo las soluciones que
involucran componentes pasivos.
B.1.
RAZONES PARA LA ASIMETRÍA ESTÁTICA
Cuando un IGBT se encuentra en estado apagado (bloqueo) una pequeña corriente fluye a través de él. La resistencia interna, sin embargo variará de dispositivo en dispositivo, por motivos de fabricación y también por la temperatura (a mayor
temperatura, menor resistencia). Esto tiene como consecuencia, que el dispositivo
que tenga una menor resistencia deba bloquear una tensión más pequeña. Sobre
el otro dispositivo recaerá el resto de la tensión, lo que reducirá su confiabilidad
(FIT más alto).
B.2.
RAZONES PARA LA ASIMETRÍA DINÁMICA
Factores como la impedancia de salida del controlador (driver), la inductancia total del lazo (dentro y fuera del módulo), la inductancia del circuito controlador
que lleva la corriente de colector y los retrasos en la propagación de las señales de
comando tienen un efecto en el balance dinámico de las tensiones en los semiconductores. Esto tiene como consecuencia que el dispositivo que se apague último
y el que se prenda primero deberán soportar la mayor tensión de conmutación,
ocasionando mayores pérdidas de conmutación y, por ende, mayor calentamiento.
B-3
R
Rp
C
R
Rp
C
Figura B.1: Circuitos pasivos para balance estático y dinámico
Además, este desbalance puede ocasionar la destrucción del semiconductor si es
que se sobrepasan los niveles de tensión límite.
B.3.
SIMETRIZACIÓN CON COMPONENTES PASIVOS
Para la simetrización estática, basta agregar una resistencia en paralelo a cada
módulo, dimensionada para conducir una corriente 3 a 5 veces más grande que
la corriente de bloqueo iC E S obtenida de la hoja de datos. La simetrización dinámica se puede lograr con un circuito RC o RCD. Este tipo de circuitos reducen y
balancean el dv /dt durante la conmutación. Para un diagrama de la conexión, ver
figura B.1. Como consecuencia negativa se obtiene un aumento en el número total
de componentes requeridos, además de agregar mayores pérdidas al circuito y de
una mayor dependencia del punto de operación del inversor.
APÉNDICE C
ALGORITMO PARA CÁLCULO DE PÉRDIDAS
C-2
APÉNDICE C
ALGORITMO PARA CÁLCULO DE PÉRDIDAS
Vdc = 845.7*2;
data = dlmread('ideal_3ph_matlab.txt','',1,0)';
%ENTRADAS
%t = tiempo
%Iload = corriente en la carga
%Ic = [corriente en S1
%
corriente en S2
%
corriente en S5]
%If = [corriente en D1
%
corriente en D2
%
corriente en D5]
t = data(1,:);
Iload = data(2,:);
Ic = [data(4,:)
data(6,:)
data(7,:)];
If = [data(3,:)
data(5,:)
data(8,:)];
Ic_cero = Ic > 1e-4;
Ic = Ic.*Ic_cero;
If_cero = If > 1e-4;
If = If.*If_cero;
%sc activos:
%(primera columna interruptores rápidos,
%segunda columna interruptores lentos)
sc=sc_models(:,1:2);
%% CÁLCULO DE PÉRDIDAS
Vce = [sc(2,1) + sc(10,1).*Ic(1:2,:).^sc(11,1)
sc(2,2) + sc(10,2).*Ic(3,:).^sc(11,2)];
Vf = [sc(3,1) + sc(12,1).*If(1:2,:).^sc(13,1)
sc(3,2) + sc(12,2).*If(3,:).^sc(13,2)];
%conducción
PcondT = sum(Ic.*Vce,2)/length(t);
PcondD = sum(If.*Vf,2)/length(t);
%conmutación
C-3
Icswbin = logical(Ic(1:2,:));
Ifswbin = logical(If(1:2,:));
Icsw = [zeros(2,1) Icswbin] - [Icswbin Icswbin(:,end)];
Icsw = Icsw(:,1:end-1);
Ifsw = [zeros(2,1) Ifswbin] - [Ifswbin Ifswbin(:,end)];
Ifsw = Ifsw(:,1:end-1);
Ton = Icsw == -1;
Toff = Icsw == 1;
Doff = Ifsw == 1;
Il_abs = [abs(Iload)
abs(Iload)];
Eon = Vdc/(2*sc(1,1))*sc(4,1)*(Il_abs.*Ton).^sc(5,1);
Eoff = Vdc/(2*sc(1,1))*sc(6,1)*(Il_abs.*Toff).^sc(7,1);
Erec = Vdc/(2*sc(1,1))*sc(8,1)*(Il_abs.*Doff).^sc(9,1);
PswT = (sum(Eon,2)+sum(Eoff,2))/t(end);
PswD = sum(Erec,2)/t(end);
PlossT = [PcondT [PswT;0]]
PlossD = [PcondD [PswD;0]]
PlossTtot = sum(PlossT,2);
PlossDtot = sum(PlossD,2);
%%
%Cálculo térmico
Th = 80;
sc16=[sc(16,1)
sc(16,1)
sc(16,2)];
sc14=[sc(14,1)
sc(14,1)
sc(14,2)];
sc15=[sc(15,1)
sc(15,1)
sc(15,2)];
TjT = Th + (PlossTtot+PlossDtot).*sc16 + PlossTtot.*sc14;
TjD = Th + (PlossTtot+PlossDtot).*sc16 + PlossDtot.*sc15;
APÉNDICE D
MODELOS DE SEMICONDUCTORES
D-2
APÉNDICE D
MODELOS DE SEMICONDUCTORES
sc_models=[
900
0.7
0.5
0.00057492
0.9351
0.00066378
0.88671
0.0088387
0.43627
0.010357
0.79806
0.050265
0.52041
0.04
0.065
0.01
600
];
1800
1
0.8
0.000959466
1.115444805
0.003771589
0.841860719
0.046509042
0.422711861
0.033603338
0.687596711
0.015314298
0.725344373
0.013
0.026
0.008
800
%Modelos (por columna)
%1
%2
%3
%4
%5
%6
%7
%8
FZ600R17KE3
FZ800R33KF2C
FZ600R65KF1
FZ1000R25KF1
FZ1200R17KE3
CM600HB-90H
FZ1200R33KE3
CM900HB-90H
3600
1
0.5
0.010908105
1.001643596
0.00437628
1.044655002
0.039192228
0.574254248
0.098574252
0.591830287
0.086990881
0.573661926
0.011
0.021
0.006
600
1200
1
0.6
0.000233997
1.284617945
0.00177838
0.919017928
0.046509042
0.38569705
0.016146867
0.744225321
0.007117244
0.767030918
0.012
0.024
0.008
1000
1700
0.6797
0.4731
1.497e-5
1.423
0.0002815
1.036
0.01785
0.4091
0.005901
0.8055
0.02501
0.5709
0.017
0.040
0.006
1200
2250
1
0.5
0.006213403
0.950072933
0.06854911
0.511257394
0.019676069
0.47047145
0.031681013
0.664827161
0.045008694
0.660487133
0.0135
0.027
0.01
600
1800
0.6959
0.4926
2.006e-5
1.384
0.0002735
1.04
0.0165
0.4205
0.004862
0.8313
0.0235
0.5783
0.0085
0.0170
0.006
1200
2250
0.943
0.4892
0.003636
1.028
0.02776
0.6781
0.04783
0.4217
0.03702
0.6118
0.05113
0.6055
0.009
0.018
0.007
900
%1 Uce
%2 Uo,t
%3 Uo,d
%4 Aon,t
%5 Bon,t
%6 Aoff,t
%7 Boff,t
%8 Aoff,d
%9 Boff,d
%10 Acond,t
%11 Bcond,t
%12 Acond,d
%13 Bcond,d
%14 Rthjc,t
%15 Rthjc,d
%16 Rthch
%17 Irated
Descargar