tema 3. frecuencímetros y contadores electrónicos

Anuncio
3
Frecuencímetros y Contadores
Electrónicos
3.1 Introducción
Un contador convencional es un dispositivo electrónico digital que mide la frecuencia
de una señal de entrada, como medida indirecta del resultado de la cuenta directa de
eventos. En su diseño también se incluyen las opciones de medida de periodos,
cocientes entre las frecuencias de las señales aplicadas a sus entradas, medidas de
intervalos temporales entre dos eventos y funcionamiento en modo totalizador. El
capítulo se estructura analizando as funciones de estos instrumentos en primer lugar, y
abordando los contadores menos convencionales al final. Se incluye el análisis de la
técnica de empleo del instrumento.
3.2 Modo de operación frecuencímetro
La figura 1 muestra la disposición del instrumento mediante su diagrama de bloques en
este modo de funcionamiento.
Entrada
Acondicionamiento
Frecuencia
a medir
Puerta
Contador-Registro
Presentación
Tiempo de puerta
Flip-Flop
fo/10n
fo
Divisor de
frecuencias
Fig. 1. Diagrama de bloques de un contador electrónico convencional en el
modo de operación frecuencímetro.
La señal de entrada se acondiciona inicialmente con el fin de hacerla compatible con
los circuitos del contador. El resultado del acondicionamiento es un tren de pulsos, cada
uno de los cuales representa un ciclo de la señal original. Con la puerta principal abierta,
los pulsos pasan al registro-contador. La base de tiempos controla el tiempo de apertura
de la puerta; este tiempo suele denominarse también tiempo de medida; y es el tiempo
JJGDR-UCA
1
Instrumentación Electrónica. Juan José González de la Rosa
que dura la realización de cuentas. La precisión de la medida depende de la precisión
con que se determine este tiempo; es decir, el error cometido en la medida depende de
la exactitud de la base de tiempos. En consecuencia, la mayoría de los contadores
emplea osciladores de cristal con frecuencias de 1, 5 ó 10 MHz como elementos básicos
de temporización en sus bases de tiempos. El divisor de la base de tiempos toma esta
frecuencia y la divide en intervalos de décadas, que constituyen los tiempos de puerta en
el modo frecuencímetro y los pulsos a contar en el modo periodímetro.
La siguiente expresión genérica permite expresar el número de pulsos (N)
contabilizados por el instrumento en cualquiera de sus modos de operación:
N = frecuencia de pulsos contabilizar × tiempo de puerta (tp)
(1)
Obsérvese que en la expresión anterior multiplicamos el número de pulsos en la
unidad de tiempo (la frecuencia) por tiempo (de apertura de la puerta), con lo cual
dimensionalmente resultan pulsos. Si los pulsos a contabilizar provienen del
acondicionamiento de la señal de entrada, el modo de operación es frecuencímetro y si
provienen de la base de tiempos el modo se denomina periodímetro.
En el caso del modo de operación frecuencímetro, el número de pulsos
contabilizados, Nf, se obtiene multiplicando la frecuencia de la señal de entrada por el
tiempo de puerta, que es la frecuencia del oscilador fo dividida en el divisor de
frecuencias.
Nf = frecuencia entrada × tiempo base tiempos = fe × To10n
(2)
Como sugiere la ecuación (2), la frecuencia se mide contando el número de ciclos de
una señal repetitiva y dividiendo el resultado de la cuenta por el tiempo durante el que se
ha realizado la cuenta (tiempo de puerta). Por ejemplo, si el contador indica Nf =3.450
pulsos y el tiempo de puerta es tp = 0,1 seg., la frecuencia de la señal es de 34.500 Hz.
La posición de la base de tiempos se escoge de forma que se tenga el número
máximo de cuentas, ya que de esta forma el error de indecisión digital, ±1 cuenta, tiene
menos influencia (menos peso) sobre el resultado.
La figura 2 muestra la cuenta de los pulsos provenientes de la entrada durante el
tiempo de puerta, que viene impuesto por la base de tiempos en este modo de
operación. En esta figura, la señal cuadrada representa el resultado de haber pasado la
entrada (por ejemplo una señal sinusoidal) por un disparador de Schmitt. Como se
observa en esta figura, la señal de la base de tiempos controla la puerta de forma que en
un flanco de subida la abre y en el siguiente flanco de subida la cierra. Es decir, la señal
de control de puerta es la salida de un biestable que recibe como entrada la señal de los
divisores de frecuencia. Es interesante subrayar que no existe sincronismo entre la
entrada y la señal de control de la base de tiempos. En efecto, esta falta de sincronismo
puede ser un inconveniente en este modo de operación, ya que lleva consigo la
introducción de errores de indecisión digital.
En consecuencia, el tiempo que dura abierta la puerta, o tiempo de medida, coincide
con un periodo de la base de tiempos, tp=To × 10n. Durante el tiempo de medida, los
ciclos de entrada contenidos en el rectángulo discontinuo son los que pasan al contador
de eventos. El número de ciclos contados durante el tiempo de medida establece la
medida de la frecuencia de la señal de entrada al instrumento. Por ejemplo, en la figura 2
se han contabilizado 6 pulsos o ciclos de entrada; suponiendo To = 0,1 µs, y n=3, se
tiene una frecuencia medida de:
2
JJGDR-UCA
2 Frecuencímetros y Contadores Electrónicos
fe =
6 (ciclos )
cuentas
= −7
= 6 ⋅10 4 (Hz ) = 60 (kHz )
tiempo puerta 10 ⋅10 3 (seg.)
Resulta interesante observar que un error de ±1 cuentas (indecisión digital) resulta
crítico en situaciones como la anterior, ya que la incertidumbre en la medida oscila entre
50 y 70 kHz en el caso considerado (una cuenta arriba o abajo). Por tanto, es
aconsejable realizar muchas cuentas, con el fin de que este error de cuantización quede
atenuado, o se menos significativo.
Umbral superior
Señal de entrada
Tensión central del ciclo de
histéresis
Umbral inferior
Te
Base de tiempos
(reloj): To×10n
Control puerta
(salida del filipflop)
Tiempo de puerta:
To ×10n
Fig. 2. Medida de los pulsos de la entrada controlando la puerta con la base de tiempos (modo
frecuencímetro). La puerta no se abre en sincronismo con la entrada.
La sensibilidad del instrumento a menudo se especifica en términos de la tensión de
histéresis (ancho de histéresis). La sensibilidad no conviene que sea muy elevada, con el
fin de evitar que señales de ruido se acoplen en serie y provoquen falsas transiciones,
que repercuten en el deterioro de la medida.
3.3 Modo de operación periodímetro
El periodo es la magnitud inversa de la frecuencia. En consecuencia, interesa medir el
tiempo que emplea la señal de interés en completar un ciclo. Por tanto, la puerta debe
mantenerse habilitada durante un ciclo de la señal de entrada, en el que se contabilizan
los pulsos provenientes de la base de tiempos, cuya duración se conoce con gran
exactitud. En consecuencia, el período de una señal se obtiene como el número de ciclos
del oscilador contenidos en un período de la entrada. El número de pulsos es en este
caso la frecuencia de la señal proveniente de la base de tiempos multiplicada por el
tiempo de puerta, que es el período de la señal de entrada. Es decir, la ecuación (1) se
concreta en la (3):
Np = frecuencia base tiempos × período entrada = (fo/10n) × Te
JJGDR-UCA
(3)
3
Instrumentación Electrónica. Juan José González de la Rosa
Si el número de pulsos Np es el resultado de promediar durante varios ciclos de la
señal de entrada, la técnica se denomina promediado sobre varios periodos. Esta técnica
se implementa dividiendo la frecuencia de la señal de entrada según las décadas del
divisor, que es la que controla el tiempo de apertura de puerta.
3.4 Error de puerta. Mejora de la resolución y precisión en el modo periodímetro
Un error muy común en instrumentación es el de apertura de la puerta. Al medir
frecuencia, la puerta permanece abierta durante el tiempo que dura activa la señal de la
base de tiempos. Si no se sincroniza esta señal con los pulsos a medir, tiene lugar la
indecisión digital, ±1. Ésta adquiere relevancia a bajas frecuencias. Por ejemplo, sobre
una cuenta de diez pulsos en un segundo la frecuencia medida oscilaría entre 9 y 11 Hz;
esto supone una inexactitud del 10 %, inadmisible.
El modo periodímetro obtiene mayor precisión y resolución al medir la frecuencia de
señales lentas. Por ejemplo, al medir 100 Hz en modo frecuencímetro con un tiempo de
puerta de 1 segundo se obtienen Nf = 100 × 1 = 100 cuentas. En el mismo contador,
con un oscilador de 10 MHz y n=0, Np = 107 × 0,01=105 cuentas. En un contador de 8
dígitos (8 dígitos en el display) esto se traduce en 00000,100 kHz (son 100 cuentas) y
0010000,0 µs (10-2 segundos, 100 Hz) (son 100000 cuentas), en los modos
frecuencímetro y periodímetro respectivamente. En consecuencia, la resolución se
mejora en un factor de 1000 al emplear el modo periodímetro. En el primero se realizan
100 cuentas y en el segundo 100000.
Otro ejemplo que muestra la necesidad de medir en modo periodímetro se describe a
continuación. Se desea obtener una resolución de 1/106, es decir, se desea un número de
cuentas del orden de magnitud de un millón. Si el instrumento se dispone en modo
frecuencímetro y se aplica una señal de 10 MHz, el tiempo de puerta necesario para
obtener la resolución deseada es:
tp =
Nf
fe
=
10 6
10 7 s −1
= 0,1 s
Sin embargo, si deseamos la misma resolución en una señal de 10 Hz, el tiempo de
puerta aumenta considerablemente:
tp =
Nf
fe
=
10 6
10 s
−1
= 10 5 s = 1,15574074 ≅ 1,16 días
En consecuencia, en esta medida es por tanto necesario emplear el modo
periodímetro. En este modo, para obtener la resolución deseada, si se dispone de un
oscilador de fo=10 MHz, la posición de la base de tiempos se obtiene a partir de la
ecuación (3):
10 6 = N p =
fo
10 n
⋅ Te =
10 7 ( s −1 )
10 n
⋅ 0,1 ( s ) → n = 0
Esto significa que en un ciclo de la señal de entrada (0,1 segundos) se han
contabilizado un millón de ciclos de la base de tiempos. Es decir, basta con emplear la
posición inicial, sin división. En consecuencia, en 0,1 segundos durante los que se
4
JJGDR-UCA
2 Frecuencímetros y Contadores Electrónicos
mantiene abierta la puerta, la menor porción de tiempo que se contabiliza es de 0,1 µs
(provenientes de la base de tiempos). La figura 3 muestra esta situación. La resolución es
de 0,1 µs en 0,1 s., ó de 1 µs en 1 s si éste fuera el tiempo de puerta; en cualquier caso es
de una parte en un millón ó 1/106.
Si la entrada fuera de 1 Hz, para n=0 se obtiene la resolución del instrumento, 0,1 µs
= 100 ns. La resolución aumenta proporcionalmente a la frecuencia del oscilador de
cuarzo. Así, si fo=100 MHz, la resolución pasa a 10 ns.
10 7 = N p =
fo
10 n
⋅ Te =
10 7 ( s −1 )
10 n
⋅ 1 (s) → n = 1
En resumen, la resolución es la menor porción de tiempo que puede ser
contabilizada en un segundo de tiempo de apertura de puerta o tiempo de medida y
viene dada por la frecuencia del oscilador de la base de tiempos sin dividir. En este caso
es de 10-7 s = 0,1 µs = 100 ns.
Te = 0,1 s
…………
0,1 µs
Fig. 3. Medida del periodo de una señal con una resolución de 0,1 µs en 0,1 s.
Resta por cuantificar el límite entre ambos modos de operación. Éste viene descrito
por la frecuencia de cruce del instrumento.
3.5 Frecuencia de cruce
La frecuencia de cruce establece la separación entre ambos modos de funcionamiento
(frecuencímetro y periodímetro). Para obtener su expresión se igualan las cuentas en
ambos modos de operación y se escoge un tiempo de puerta de 1 segundo en modo
frecuencímetro.
Nf = fentrada × 1( seg)= fbase de tiempos× Te = Np
Esto significa que, fija una posición de la base de tiempos, cuando el número de
cuentas en modo frecuencímetro para un tiempo de puerta de 1 segundo sea el mismo
que el obtenido en el modo periodímetro (y en consecuencia actuando la entrada como
señal de puerta), la frecuencia de entrada que logre esta igualdad establece un límite
superior de resolución. En consecuencia, conviene emplear el modo periodímetro para
frecuencias inferiores a la de esta entrada.
Desarrollando la ecuación se obtiene la frecuencia de cruce (fc):
f e2 = f c2 = f base tiempos
→
fc =
f base tiempos
De la expresión anterior se deduce que cada posición de la base de tiempos tiene
asociada una frecuencia de cruce. En los frecuencímetros tradicionales, como por
JJGDR-UCA
5
Instrumentación Electrónica. Juan José González de la Rosa
ejemplo el modelo HM 8021-3, mostrado en la Fig. 4 el usuario debe calcularla a priori y
decidir la resolución deseada.
Fig. 4. Contador-frecuencímetro HM 8021-3.
3.6 Promediado de periodos
Con el fin de mejorar la precisión y la resolución en el modo periodímetro el
instrumento suele incorporar la posibilidad de extender el tiempo durante el que
permanece abierta la puerta, o tiempo de medida. Por ejemplo, si ésta se mantiene
abierta diez veces más dividiendo por diez la frecuencia de entrada, se obtienen diez
veces más cuentas. El resultado final se corrige desplazando del punto decimal.
En este caso, el diagrama de bloques se altera haciendo pasar por la puesta al
oscilador sin dividir su frecuencia y controlando la puerta con la frecuencia de entrada
dividida por la base de tiempos.
Np = frecuencia oscilador × período entrada aumentado = fo × Te10n
Obsérvese que el tiempo de puerta es ahora Te10n, por lo que el tiempo de medida es
10n veces mayor, manteniéndose la resolución del instrumento (dada por la frecuencia
del oscilador de la base de tiempos). Este inconveniente puede solucionarse dividiendo
también la frecuencia del oscilador y eligiendo la combinación frecuencia del osciladortiempo de promediado óptima.
3.7 Medidas de cocientes de frecuencias
Si la magnitud de interés es el cociente entre las frecuencias de dos entradas el
instrumento adopta la disposición de la figura 5. En lugar del oscilador de la base de
tiempos, se emplea el otro canal de entrada, con su correspondiente acondicionamiento
con el fin de determinar el tiempo de puerta. Además, se inhibe la indicación de unidad
de medida, ya que la magnitud de interés es adimensional.
Aunque el reloj interno no se utilice, las distintas posiciones de la base de tiempos
vienen determinadas por su valor nominal. Así por ejemplo, si la frecuencia del oscilador
es de 1 MHz, entonces la posición n=0 corresponde a 1 µs, la correspondiente a n=1
equivale a 10 µs de tiempo de puerta, y así sucesivamente.
6
JJGDR-UCA
2 Frecuencímetros y Contadores Electrónicos
Entrada de
mayor
frecuencia
T1
Acondicionamiento
Puerta
Contador-Registro
Presentación
Flip-Flop
T2*10n
Entrada de
menor
frecuencia
Acondicionamiento
T2
Divisor de
frecuencias
Fig. 5. Disposición del instrumento para la medida de relación de frecuencias.
Con el fin de mostrar la operación del instrumento en este modo de funcionamiento
se considera el siguiente ejemplo. Si f0=1 MHz, f1=1 MHz y f2=500 kHz, para n=0 se
obtiene la medida:
N = frecuencia mayor × período frecuencia menor = f1 × T2100
N=
f1
f2
=
10 0
1000
=2
500
Para n=1, la puerta se mantiene abierta diez veces más y la cuenta queda multiplicada
por este factor:
N=
f1
f2
101
=
1000
= 20
50
En este caso la lectura se acompaña de un desplazamiento del punto decimal con el fin
de proporcionar una lectura de 2,0.
3.8 Medida de intervalos de tiempo
La figura 6 muestra el diagrama de bloques básico en este modo de operación.
Abrir
Comienzo
Fin
Acondicionamiento
FlipFlop
Puerta
Cerrar
Contador y
presentación
Acondicionamiento
Divisor de
frecuencias
Fig. 6. Disposición del instrumento para la medida de intervalos de tiempo.
La puerta se controla mediante dos entradas independientes, comienzo y fin, que se
encargan de abrirla y cerrarla, respectivamente. Durante el tiempo en que está abierta, el
contador acumula la cuenta de pulsos provenientes de los divisores de la base de
tiempos. La figura 7 muestra la disposición del flip-flop de control de puerta y la
operación mediante las señales de comienzo y fin.
JJGDR-UCA
7
Instrumentación Electrónica. Juan José González de la Rosa
Reloj
Cuenta
acumulada
Comienzo
S
Fin
R
Q
Reloj
Comienzo
Fin
Control de
puerta, Q
Pulsos al
contador
1
4
Fig. 7. Disposición de conducción directa de la puerta. En el cronograma se
omiten los retardos del biestable.
Si las anchuras de los pulsos truncados 1 y 4 que pasan al contador son suficientes, el
contador introducirá dos cuentas de error, siendo el resultado 4 en lugar de 2, que
correspondería a la cuenta de los dos pulsos completos englobados por el tiempo de
puerta, o duración real de la medida. Esto significa que el error introducido por la
conducción directa de la puerta puede ser de más de una cuenta. Este tipo de errores
introducen un sesgo significativo con respecto a la lectura esperada. La conducción
sincronizada de puerta soluciona este problema y se emplea en la técnica de
promediados de intervalos de tiempo.
Las señales de comienzo y fin pueden provenir de la misma entrada o de entradas
distintas. En el primer caso la magnitud de interés es la duración entre dos puntos de
una misma señal; en el segundo puede ser el desfase entre dos señales de la misma
frecuencia. En este último caso deben escogerse la misma pendiente de disparo y el
mismo nivel de disparo. Un conmutador externo se encarga de discriminar entre los dos
modos de operación.
Con el fin de aumentar la resolución también se emplean promediados en la medida
de intervalos de tiempo.
3.9 Modo totalizador
La figura 8 muestra el diagrama del instrumento en este modo de operación, que es
similar a la disposición para la medida de frecuencias.
8
JJGDR-UCA
2 Frecuencímetros y Contadores Electrónicos
Entrada
Acondicionamiento
Puerta
Contador-Registro
Presentación
Inicio/fin
Flip-Flop
fo/10
fo
n
Divisor de
frecuencias
Fig. 8. Disposición en modo totalizador.
Los instantes inicio y fin de la totalización pueden también controlarse desde el panel
frontal del instrumento.
3.10 Otras funciones que puede incorporar un contador convencional
Se describen aquí otras funciones que a veces emplea o incorpora un contador
convencional y que suelen emplearse en situaciones específicas.
3.10.1 Contadores normalizadores y contadores controladores (preset counters)
Presentan la frecuencia medida multiplicada por una constante de normalización que
puede seleccionarse desde el panel frontal o incorporarse de forma automática a partir
de una memoria. Esta técnica suele emplearse en aplicaciones industriales de medida de
rpm (revoluciones por minuto) o en mediciones de caudal.
Los contadores controladores entregan una salida eléctrica cuando la cuenta supera
una cantidad que es seleccionada. La salida eléctrica se emplea para controlar otro
dispositivo. La consigna se especifica en rpm.
3.10.2 Contadores con preescalado
La velocidad de la puerta principal y de los registros del contador son dos elementos que
limitan la fiabilidad del contador a altas frecuencias. La adición de un preescalador
(divisor) solventa este inconveniente. Antes de aplicar la señal a la puerta principal y
después del acondicionamiento de entrada, se divide la frecuencia de entrada por un
factor N . Sin embargo, la puerta principal ha de mantenerse abierta N veces más con el
fin de acumular el mismo número de cuentas en el registro contador. Esto supone por
tanto un compromiso entre ancho del banda y velocidad de respuesta del contador para
lograr la resolución deseada.
Esta opción suele usarse como alternativa de bajo coste en contadores de alta
frecuencia; surge como alternativa a los modelos de cuenta directa en un ancho de
banda máximo de 500 MHz.
3.10.3 Contadores con preselector
El preselector es un bloque que permite establecer un valor de salida inicial del
contador. Este bloque de inicialización se conecta a un contador, que es el principal u
otro adicional que, o se conecta después del acondicionamiento de entrada o después
del oscilador de cristal de la base de tiempos. La forma de conectarlo determina la
función realizada.
JJGDR-UCA
9
Instrumentación Electrónica. Juan José González de la Rosa
Si el preselector se conecta al contador principal recibe el nombre de aritmético y se
utiliza para sumar y restar frecuencias. La figura 9 muestra la disposición de los bloques.
Entrada
Acondicionamiento
Puerta
Contador-Registro
Presentación
Inicio/fin
Flip-Flop
Preselector
fo/10
fo
n
Divisor de
frecuencias
Fig. 9. Contador con pre-selector aritmético.
Por ejemplo, si se desea sumar se carga la primera frecuencia y se cuenta la segunda; si
se quiere restar se carga el complemento binario de la primera y se cuenta la segunda.
3.11 Contadores automáticos para microondas
La velocidad de operación de un contador viene limitada por el ancho de banda de sus
circuitos electrónicos digitales. Hoy día, el estado del arte de los circuitos digitales
permite abarcar aproximadamente hasta los 500 MHz; quizá se haya logrado ya un
ancho de banda de 1 GHz. Más allá de estos límites el diseño se basa en técnicas de
conversión hacia abajo (“down-conversion techniques”). Éstas técnicas son las
siguientes:
•
•
•
•
Preeescalado, con un rango de hasta 1,5 GHz y descrita con anterioridad.
Convertidor heterodino. Es sencillo encontrar modelos de hasta 20 GHz y se
puede llegar hasta 40 GHz.
Oscilador de transferencia: hasta 23 GHz.
Convertidor heterodino de armónicos. Esta nueva técnica (en pleno desarrollo)
permite llegar hasta los 40 GHz.
3.11.1 Convertidor heterodino
La frecuencia desconocida (fx) (en la banda de las microondas) se mezcla con un
armónico (nfint), de una frecuencia conocida (fint), que se genera internamente en base a la
frecuencia del oscilador de cristal. Este armónico es próximo a la frecuencia
desconocida con el fin de que la diferencia entre ambas entre dentro del margen de
frecuencias de un contador tradicional (500 MHz). La figura 10 muestra el diagrama de
bloques del instrumento, donde se destaca la zona del instrumento donde se realiza la
función de conversión hacia atrás.
La frecuencia interna (fint), muy estable, es a su vez un múltiplo de la frecuencia del
oscilador de cristal de la base de tiempos del instrumento (fo). El resultado de esta
multiplicación es típicamente 100 ó 500 MHz. La salida del multiplicador se conecta a
un generador de armónicos que entrega una secuencia de armónicos equiespaciados en
fint, que se extienden a todo el rango de frecuencias del contador. La máxima frecuencia
del generador de armónicos determina el margen de medida del instrumento. El
armónico n-ésimo, nfint, es seleccionado por un filtro selectivo controlado por
microprocesador.
10
JJGDR-UCA
2 Frecuencímetros y Contadores Electrónicos
fx±nfint
fx
fx-nfint
nfint
Amp
AGC
Interruptor,
filtro
sintonizable
Control
filtro
Generador de
armónicos
Báscula de
Schmitt
Amplificador de
vídeo
Mezclador
Amp
CC
Puerta
Flip-Flop
Detector
señal
Contador
-Registro
Presentación
Multiplicador
fint= kfo
fo
Procesador
Divisor de
frecuencias
Fig. 10. Diagrama de bloques que implementa la técnica de conversión
heterodina. En línea discontinua se muestra el bloque de conversión hacia atrás.
La salida del mezclador es una señal de video con frecuencias, fx ± nfint. Un
amplificador de video paso-baja se encarga de eliminar la componente de mayor
frecuencia, y se mide la de frecuencia menor, que queda dentro del rango del contador
convencional. La frecuencia diferencia es la primera que queda por debajo del límite del
contador convencional, y es detectada por el filtro sintonizable. El display muestra la
suma de la frecuencia de video y la del contador: n fint + fx - n fint = fx. La primera es
proporcionada por el procesador.
Con el fin de cuantificar la operación se considera un ejemplo. Contador
convencional con capacidad de 500 MHz, fo=10 MHz. Multiplicador de frecuencia X50.
Salida del multiplicador de 500 MHz. Armónicos: 500 MHz, 1 GHz, 1,5 GHz, 2 GHz,
etc. Si la frecuencia desconocida es de 10 GHz, el primer armónico que hace que la
frecuencia diferencia quede dentro de los límites del contador convencional corresponde
a n=19. En efecto:
10 GHz - n × 500 MHz = 500 MHz (margen de medida)
9,5 GHz=0,5 GHz × n
de donde n = 19
3.11.2 Oscilador de transferencia
La técnica empleada por el oscilador de transferencia consiste en enganchar en fase un
oscilador de baja frecuencia a la señal de microondas. Una vez enganchado, la frecuencia
del oscilador de baja frecuencia se puede medir en un contador convencional. Sólo resta
por determinar el armónico del oscilador de baja frecuencia que engancha con la
entrada. La figura 11 muestra el diagrama de bloques de este instrumento. En él se debe
comprender el funcionamiento del lazo de enganche de fase, en un principio, de una
forma cualitativa.
El lazo de enganche de fase (PLL; Phase Locked Loop) sintoniza la salida del
amplificador de video con la frecuencia interna de referencia del contador convencional
(fo). Es decir, las dos frecuencias de entrada al PLL coinciden y se mantiene la situación
de desfase constante. Este desfase se traduce en una salida del oscilador controlado por
tensión (VCO; Voltage Controlled Oscillator) de tensión continua. Si la entrada varía, el
control automático del PLL mantiene la situación de sintonía dentro del margen del
JJGDR-UCA
11
Instrumentación Electrónica. Juan José González de la Rosa
enganche. En el frecuencímetro convencional se mide la frecuencia del VCO. En
situación de enganche:
f o = f x − n ⋅ f VCO
fX
Mezclador
Amplificador vídeo
fX ± n × fVCO
fX – n × fVCO
PLL
n × fVCO
fo
Detector de fase
Señal de CC
Generador de
armónicos
VCO
fVCO
Frecuencímetro
fVCO
Fig. 11. Diagrama de bloques del oscilador de transferencia.
3.12 Límites y ajustes prácticos de la entrada en el empleo de contadores
El circuito de entrada de un contador básicamente consta de un atenuador, un
amplificador y una báscula de Schmitt. Éste último convierte la señal analógica de
entrada en una señal digital compatible con los circuitos internos del contador. Para que
se realice la cuenta eficazmente hemos de considerar los siguientes parámetros.
3.12.1 Sensibilidad y acoplamientos CC-CA
La sensibilidad se define como el mínimo valor de entrada que puede contarse. Se
especifica en términos del valor eficaz de una entrada senoidal. En la práctica viene dada
por la ganancia del amplificador y la tensión de histéresis de la báscula.
El ancho de histéresis proporciona inmunidad al contador contra el ruido, que puede
provocar falsas cuentas si se acopla a la señal de entrada. En consecuencia, no conviene
disminuir en exceso el ancho de histéresis con el fin de aumentar la sensibilidad del
contador; ya que puede ser perjudicial en ambientes ruidos.
Por otra parte, el efecto del ruido en un contador depende de su impedancia de
entrada, cuanto mayor es ésta más susceptible de ser afectado por el ruido es el
contador. La figura 12 muestra cómo para que se produzca una cuenta en el registro del
contador, la señal debe sobrepasar tanto el umbral superior como el inferior.
12
JJGDR-UCA
2 Frecuencímetros y Contadores Electrónicos
Fig. 12. Inmunidad de un contador al rizado produido por señales de ruido.
Obsérvese que a pesar de que la señal de entrada posee acoplada una señal de ruido, el
ciclo de histéresis le proporciona inmunidad y no se producen falsas cuentas.
Por otra parte, dependiendo de si el acoplamiento de la entrada es en CC o en CA,
así se podrán producir o no estos falsos cruces por cero. La situación se muestra en la
figura 13.
Fig. 13. Dependiendo del aoplamiento de la señal de entrada se podrán o no
producir las cuentas.
Como regla práctica se recomienda pulsar el botón de acoplamiento si hubiere
estancamiento de la cuenta.
3.12.2 Nivel y pendiente de disparo
En el caso en que se estén contabilizando pulsos como señal externa que se inyecta al
contador, surgen problemas si el ciclo de trabajo del tren de pulsos objeto de la medida
es bajo.
Además, en señales con ciclos de trabajo variables no se puede emplear el
acoplamiento en CA para resolver el problema de la no realización de cuentas. Esto es
JJGDR-UCA
13
Instrumentación Electrónica. Juan José González de la Rosa
porque el punto de disparo varía con el ciclo de trabajo y el operador no sabe dónde
están situados los niveles de la señal en relación a la tierra del amplificador.
La situación se muestra en la figura 14, donde se ilustra cómo al mover el punto
central del ciclo de histéresis ya son posibles las cuentas.
Fig. 14. Ajuste del nivel de disparo para la producción de cuentas. La línea
continua representa la tierra del amplificador.
La mayoría de los contadores incorpora un mando de tres posiciones para escoger
una de las tres situaciones marcadas en la figura 14. La posición por defecto es la de (a),
la posición etiquetada “+” corresponde a la figura (b), mientras que la etiquetada como
“-” corresponde a la figura (c). Ésta última corresponde a pulsos negativos.
Los modelos de contadores más sofisticados incorporan un ajuste continuo del nivel
de disparo., ajustable en todo el rango dinámico de la señal de entrada.
El control de pendiente de un contador determina si la báscula de Schmitt es activada
por el flanco positivo o por el negativo de la señal de entrada. Dependiendo de cuál sea
la situación el pulso se generará en el umbral superior o en el inferior del ciclo de
histéresis.
3.13 Contadores recíprocos
3.13.1 Características principales
Este conjunto de instrumentos (actualmente casi todos los contadores operan según este
principio) realizan siempre una medida del periodo de la señal como punto de partida
para posteriores cálculos. Si la magnitud de interés es la frecuencia, ésta se calcula como
la inversa del periodo y se muestra en el display de forma directa.
Esta técnica se ha extendido en el diseño de contadores debido a dos características
principales:
•
•
14
El error de cuantización o error de ±1 es independiente de la frecuencia de la
señal de entrada (en modo periodímetro depende sólo de la frecuencia de la base
de tiempos). Por consiguiente, la resolución del instrumento es independiente de
la frecuencia de la señal de entrada.
Se puede controlar la puerta principal en tiempo real. A este rasgo se le
denomina capacidad de “rearme” de la puerta (arming gate).
JJGDR-UCA
2 Frecuencímetros y Contadores Electrónicos
Con el fin de apreciar las ventajas de este método basta considerar los errores
asociados a la indecisión digital, ±1 en los modos frecuencímetro y periodímetro. En el
modo de funcionamiento frecuencímetro, el error cometido es el inverso en la
frecuencia de la señal de entrada ±1/fe, mientras que en el modo periodímetro este error
vale ± To/max(Te, tp), ya que la apertura de la puerta se controla en este caso por la señal
de entrada.
Como se aprecia, en el modo periodímetro, si el usuario ha seleccionado un tiempo
de puerta mayor que el periodo de la señal de entrada, el instrumento tomará el tiempo
de puerta seleccionado. Sin embargo, si el periodo de la señal de entrada es mayor que el
tiempo de puerta, dará tiempo a que se produzca un nuevo rearme.
Por ejemplo, si fo=10 MHz y tp = 1 s, en un rango de frecuencias de entrada de 1 Hz10 MHz el error en modo frecuencímetro disminuirá de 1 s hasta 10-7 s, para todo el
rango considerado. Sin embargo, el error en modo periodímetro siempre vale To/max
(Te, tp)= To/tp=10-7 s. En consecuencia para frecuencias menores de 10 MHz, es
recomendable el modo periodímetro, ya que posee una mayor resolución para
frecuencias menores que la del reloj interno. La situación se muestra en la figura 15.
Error de
cuantización
Error =
To/max(Te, tp)
10-7
Modo periodímetro
Hacia
menor
error
Error
= 1/fe
Modo frecuencímetro
1 Hz
10 MHz
Frecuencia de
entrada
Fig. 15. Error en un contador recíproco para un tiempo de puerta de 1 s.
3.13.2 Descripción operativa
El diagrama de bloques básico de un contador recíproco es similar al de un contador
convencional salvo por el detalle de que incorpora dos registros contadores en lugar de
uno. Un registro está destinado a la cuenta de ciclos de reloj y el otro está destinado a la
cuenta de impulsos generados por los ciclos de la señal de entrada. Ambos contadores
contabilizan impulsos durante el mismo tiempo de puerta. La figura 16 muestra un
sencillo diagrama de bloques en el que se aprecian los anteriores elementos. La figura 17
muestra una situación de medida que involucra una señal sinusoidal. En ella se aprecia
que después del flanco de subida de la señal correspondiente al tiempo teórico de
puerta, se produce el rearme en el primer flanco de subida de la señal de entrada.
Cuando la señal del tiempo teórico de puerta haya regresado a nivel bajo se esperará al
siguiente flanco de subida de la señal de entrada para concluir la señal de tiempo
efectivo de puerta. Al igual que sucede en los contadores convencionales, en cada flanco
JJGDR-UCA
15
Instrumentación Electrónica. Juan José González de la Rosa
de subida de la señal de entrada se producirá un evento o pulso que es contabilizado en
su correspondiente contador. Al mismo tiempo, los pulsos de reloj son empleados para
calcular el tiempo efectivo de puerta.
Eventos
Contador de
eventos
Tiempo efectivo
de puerta
Contador de
tiempo real
Reloj interno
Fig. 16. Diagrama de bloques de un contador recíproco.
Tiempo teórico de puerta
Tensión central del ciclo
de histéresis
Te
Tiempo efectivo de puerta
Reloj con el que se cuenta
el tiempo real
Fig. 17. Situación de medida cuasi-didáctica de un contador recíproco.
En la figura 18 se aprecia un caso práctico de medida cuyas señales se han capturado
en un osciloscopio digital. En este caso se contabilizan 8 eventos (ciclos) de la señal de
entrada comprendidos dentro del tiempo efectivo de puerta. Éste se mide con los
cursores del osciloscopio y resulta 4,28 s. Por tanto, la frecuencia de la entrada vale 8
ciclos/4,28 s. ≈ 1,870 Hz.
16
JJGDR-UCA
2 Frecuencímetros y Contadores Electrónicos
Fig. 18. Caso prácticode medida de un contador recíproco.
Referencias
[1]
[2]
[3]
[4]
Hewllet Packard, Fundamentals of the electronic counters, AN 200, Electronic
counter series, 2000.
W.D. Cooper and A.D. Helfrick, Instrumentación electrónica moderna y técnicas
de medición, Prentice-Hall. Hispanoamericana, 1991.
R. Pallás, Instrumentación electrónica básica, Marcombo, Boixareu editores, 1987.
S. Wolf, y R.F.M. Smith, Guía para mediciones electrónicas y prácticas de
laboratorio, edición ampliada y actualizada. Prentice-hall hispanoamericana.
méxico, etc., 1992.
JJGDR-UCA
17
Descargar